09/22/2024 05:04:42 PM UTC [INFO] Listening for events... 09/22/2024 05:04:42 PM UTC [INFO] Press Ctrl-C to stop. 09/22/2024 05:06:05 PM UTC [INFO] Received an event for node: 66f04aee8f2c39d354f31ad8 09/22/2024 05:07:57 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04aee8f2c39d354f31ad8', 'origin': 'maestro', 'comment': 'kselftest-iommu on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T16:50:54.583000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'INCOMPLETE', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2bff7', 'origin': 'maestro', 'comment': 'iommu_iommufd_fail_nth on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.242000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_fail_nth', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2bff8', 'origin': 'maestro', 'comment': 'iommu_iommufd_fail_nth_basic_fail_nth_device_fault_injection_is_not_enabled_in_the_kernel on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.242000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_fail_nth_basic_fail_nth_device_fault_injection_is_not_enabled_in_the_kernel', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2bff9', 'origin': 'maestro', 'comment': 'iommu_iommufd_fail_nth_basic_fail_nth_access_pin_domain_fault_injection_is_not_enabled_in_the_kernel on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.242000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_fail_nth_basic_fail_nth_access_pin_domain_fault_injection_is_not_enabled_in_the_kernel', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2bffa', 'origin': 'maestro', 'comment': 'iommu_iommufd_fail_nth_basic_fail_nth_access_pin_fault_injection_is_not_enabled_in_the_kernel on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.242000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_fail_nth_basic_fail_nth_access_pin_fault_injection_is_not_enabled_in_the_kernel', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2bffb', 'origin': 'maestro', 'comment': 'iommu_iommufd_fail_nth_basic_fail_nth_access_rw_fault_injection_is_not_enabled_in_the_kernel on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_fail_nth_basic_fail_nth_access_rw_fault_injection_is_not_enabled_in_the_kernel', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2bffc', 'origin': 'maestro', 'comment': 'iommu_iommufd_fail_nth_basic_fail_nth_map_two_domains_fault_injection_is_not_enabled_in_the_kernel on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_fail_nth_basic_fail_nth_map_two_domains_fault_injection_is_not_enabled_in_the_kernel', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2bffd', 'origin': 'maestro', 'comment': 'iommu_iommufd_fail_nth_basic_fail_nth_map_domain_fault_injection_is_not_enabled_in_the_kernel on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_fail_nth_basic_fail_nth_map_domain_fault_injection_is_not_enabled_in_the_kernel', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2bffe', 'origin': 'maestro', 'comment': 'iommu_iommufd_fail_nth_basic_fail_nth_basic_fault_injection_is_not_enabled_in_the_kernel on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_fail_nth_basic_fail_nth_basic_fault_injection_is_not_enabled_in_the_kernel', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2bfff', 'origin': 'maestro', 'comment': 'iommu_iommufd on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c000', 'origin': 'maestro', 'comment': 'iommu_iommufd_vfio_compat_mock_domain_Ver1v0_huge_map on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_vfio_compat_mock_domain_Ver1v0_huge_map', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c001', 'origin': 'maestro', 'comment': 'iommu_iommufd_vfio_compat_mock_domain_Ver1v0_map on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_vfio_compat_mock_domain_Ver1v0_map', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c002', 'origin': 'maestro', 'comment': 'iommu_iommufd_vfio_compat_mock_domain_Ver1v0_get_info on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_vfio_compat_mock_domain_Ver1v0_get_info', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c003', 'origin': 'maestro', 'comment': 'iommu_iommufd_vfio_compat_mock_domain_Ver1v0_option_huge_pages on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_vfio_compat_mock_domain_Ver1v0_option_huge_pages', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c004', 'origin': 'maestro', 'comment': 'iommu_iommufd_vfio_compat_mock_domain_Ver1v0_simple_close on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_vfio_compat_mock_domain_Ver1v0_simple_close', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c005', 'origin': 'maestro', 'comment': 'iommu_iommufd_vfio_compat_mock_domain_Ver1v2_huge_map on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_vfio_compat_mock_domain_Ver1v2_huge_map', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c006', 'origin': 'maestro', 'comment': 'iommu_iommufd_vfio_compat_mock_domain_Ver1v2_map on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_vfio_compat_mock_domain_Ver1v2_map', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c007', 'origin': 'maestro', 'comment': 'iommu_iommufd_vfio_compat_mock_domain_Ver1v2_get_info on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_vfio_compat_mock_domain_Ver1v2_get_info', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c008', 'origin': 'maestro', 'comment': 'iommu_iommufd_vfio_compat_mock_domain_Ver1v2_option_huge_pages on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_vfio_compat_mock_domain_Ver1v2_option_huge_pages', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c009', 'origin': 'maestro', 'comment': 'iommu_iommufd_vfio_compat_mock_domain_Ver1v2_simple_close on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_vfio_compat_mock_domain_Ver1v2_simple_close', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c00a', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_huge_get_dirty_bitmap_no_clear on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_huge_get_dirty_bitmap_no_clear', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c00b', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_huge_get_dirty_bitmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_huge_get_dirty_bitmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c00c', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_huge_device_dirty_capability on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_huge_device_dirty_capability', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c00d', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_huge_set_dirty_tracking on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_huge_set_dirty_tracking', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c00e', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_huge_enforce_dirty on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.243000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_huge_enforce_dirty', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c00f', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_get_dirty_bitmap_no_clear on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_get_dirty_bitmap_no_clear', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c010', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_get_dirty_bitmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_get_dirty_bitmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c011', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_device_dirty_capability on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_device_dirty_capability', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c012', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_set_dirty_tracking on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_set_dirty_tracking', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c013', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_enforce_dirty on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128M_enforce_dirty', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c014', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_huge_get_dirty_bitmap_no_clear on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_huge_get_dirty_bitmap_no_clear', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c015', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_huge_get_dirty_bitmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_huge_get_dirty_bitmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c016', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_huge_device_dirty_capability on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_huge_device_dirty_capability', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c017', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_huge_set_dirty_tracking on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_huge_set_dirty_tracking', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c018', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_huge_enforce_dirty on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_huge_enforce_dirty', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c019', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_get_dirty_bitmap_no_clear on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_get_dirty_bitmap_no_clear', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c01a', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_get_dirty_bitmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_get_dirty_bitmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c01b', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_device_dirty_capability on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_device_dirty_capability', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c01c', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_set_dirty_tracking on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_set_dirty_tracking', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c01d', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_enforce_dirty on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64M_enforce_dirty', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c01e', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty320k_get_dirty_bitmap_no_clear on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty320k_get_dirty_bitmap_no_clear', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c01f', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty320k_get_dirty_bitmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty320k_get_dirty_bitmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c020', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty320k_device_dirty_capability on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty320k_device_dirty_capability', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c021', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty320k_set_dirty_tracking on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty320k_set_dirty_tracking', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c022', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty320k_enforce_dirty on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.244000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty320k_enforce_dirty', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c023', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128k_get_dirty_bitmap_no_clear on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128k_get_dirty_bitmap_no_clear', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c024', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128k_get_dirty_bitmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128k_get_dirty_bitmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c025', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128k_device_dirty_capability on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128k_device_dirty_capability', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c026', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128k_set_dirty_tracking on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128k_set_dirty_tracking', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c027', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty128k_enforce_dirty on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty128k_enforce_dirty', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c028', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64k_get_dirty_bitmap_no_clear on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64k_get_dirty_bitmap_no_clear', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c029', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64k_get_dirty_bitmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64k_get_dirty_bitmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c02a', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64k_device_dirty_capability on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64k_device_dirty_capability', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c02b', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64k_set_dirty_tracking on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64k_set_dirty_tracking', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c02c', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty64k_enforce_dirty on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty64k_enforce_dirty', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c02d', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty16k_get_dirty_bitmap_no_clear on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty16k_get_dirty_bitmap_no_clear', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c02e', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty16k_get_dirty_bitmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty16k_get_dirty_bitmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c02f', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty16k_device_dirty_capability on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty16k_device_dirty_capability', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c030', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty16k_set_dirty_tracking on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty16k_set_dirty_tracking', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c031', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty16k_enforce_dirty on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty16k_enforce_dirty', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c032', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty8k_get_dirty_bitmap_no_clear on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty8k_get_dirty_bitmap_no_clear', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c033', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty8k_get_dirty_bitmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty8k_get_dirty_bitmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c034', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty8k_device_dirty_capability on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty8k_device_dirty_capability', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c035', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty8k_set_dirty_tracking on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty8k_set_dirty_tracking', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c036', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_dirty_tracking_domain_dirty8k_enforce_dirty on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.245000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_dirty_tracking_domain_dirty8k_enforce_dirty', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c037', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_alloc_hwpt on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_alloc_hwpt', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c038', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_replace on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_replace', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c039', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_user_copy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_user_copy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c03a', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_all_aligns_copy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_all_aligns_copy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c03b', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_all_aligns on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_all_aligns', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c03c', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_ro_unshare on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_ro_unshare', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c03d', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_basic on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_hugepage_basic', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c03e', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_alloc_hwpt on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_alloc_hwpt', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c03f', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_replace on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_replace', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c040', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_user_copy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_user_copy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c041', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_all_aligns_copy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_all_aligns_copy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c042', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_all_aligns on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_all_aligns', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c043', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_ro_unshare on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_ro_unshare', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c044', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_basic on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_hugepage_basic', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c045', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_alloc_hwpt on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_alloc_hwpt', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c046', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_replace on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_replace', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c047', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_user_copy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_user_copy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c048', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_all_aligns_copy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_all_aligns_copy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c049', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_all_aligns on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_all_aligns', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c04a', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_ro_unshare on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_ro_unshare', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c04b', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_two_domains_basic on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.246000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_two_domains_basic', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c04c', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_alloc_hwpt on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_alloc_hwpt', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c04d', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_replace on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_replace', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c04e', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_user_copy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_user_copy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c04f', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_all_aligns_copy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_all_aligns_copy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c050', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_all_aligns on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_all_aligns', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c051', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_ro_unshare on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_ro_unshare', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c052', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_mock_domain_one_domain_basic on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_mock_domain_one_domain_basic', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c053', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_copy_sweep on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_copy_sweep', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c054', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_align_change on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_align_change', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c055', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_iova_alloc on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_iova_alloc', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c056', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_option_huge_pages on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_option_huge_pages', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c057', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_fork_present on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_fork_present', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c058', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_fork_gone on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_fork_gone', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c059', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_access_rw_unaligned on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_access_rw_unaligned', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c05a', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_access_rw on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_access_rw', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c05b', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_access_pin_unmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_access_pin_unmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c05c', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_access_pin on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_access_pin', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c05d', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_access_domain_destory on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_access_domain_destory', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c05e', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_iova_ranges on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_iova_ranges', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c05f', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_copy_area on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_copy_area', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c060', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_area_allowed on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.247000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_area_allowed', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c061', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_area_auto_iova on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_area_auto_iova', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c062', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_unmap_fully_contained_areas on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_unmap_fully_contained_areas', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c063', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_area on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_area', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c064', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_get_hw_info on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_get_hw_info', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c065', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_area_auto_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_area_auto_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c066', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_area_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_area_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c067', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_hwpt_attach on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_hwpt_attach', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c068', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_alloc_hwpt_nested on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_alloc_hwpt_nested', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c069', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c06a', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_auto_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_limit_ioas_auto_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c06b', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_copy_sweep on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_copy_sweep', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c06c', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_align_change on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_align_change', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c06d', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_iova_alloc on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_iova_alloc', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c06e', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_option_huge_pages on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_option_huge_pages', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c06f', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_fork_present on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_fork_present', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c070', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_fork_gone on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_fork_gone', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c071', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_access_rw_unaligned on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_access_rw_unaligned', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c072', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_access_rw on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_access_rw', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c073', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_access_pin_unmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_access_pin_unmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c074', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_access_pin on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.248000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_access_pin', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c075', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_access_domain_destory on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_access_domain_destory', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c076', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_iova_ranges on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_iova_ranges', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c077', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_copy_area on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_copy_area', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c078', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_area_allowed on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_area_allowed', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c079', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_area_auto_iova on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_area_auto_iova', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c07a', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_unmap_fully_contained_areas on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_unmap_fully_contained_areas', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c07b', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_area on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_area', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c07c', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_get_hw_info on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_get_hw_info', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c07d', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_area_auto_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_area_auto_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c07e', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_area_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_area_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c07f', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_hwpt_attach on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_hwpt_attach', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c080', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_alloc_hwpt_nested on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_alloc_hwpt_nested', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c081', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c082', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_auto_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_two_mock_domain_ioas_auto_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c083', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_copy_sweep on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_copy_sweep', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c084', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_ioas_align_change on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_ioas_align_change', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c085', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_ioas_iova_alloc on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_ioas_iova_alloc', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c086', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_ioas_option_huge_pages on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_ioas_option_huge_pages', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c087', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_fork_present on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_fork_present', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c088', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_fork_gone on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.249000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_fork_gone', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c089', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_access_rw_unaligned on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_access_rw_unaligned', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c08a', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_access_rw on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_access_rw', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c08b', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_access_pin_unmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_access_pin_unmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c08c', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_access_pin on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_access_pin', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c08d', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_access_domain_destory on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_access_domain_destory', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c08e', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_iova_ranges on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_iova_ranges', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c08f', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_copy_area on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_copy_area', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c090', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_area_allowed on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_area_allowed', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c091', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_area_auto_iova on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_area_auto_iova', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c092', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_unmap_fully_contained_areas on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_unmap_fully_contained_areas', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c093', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_area on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_area', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c094', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_get_hw_info on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_get_hw_info', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c095', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_ioas_area_auto_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_ioas_area_auto_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c096', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_ioas_area_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_ioas_area_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c097', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_hwpt_attach on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_hwpt_attach', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c098', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_alloc_hwpt_nested on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_alloc_hwpt_nested', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c099', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_ioas_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_ioas_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c09a', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_mock_domain_ioas_auto_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_mock_domain_ioas_auto_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c09b', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_copy_sweep on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_copy_sweep', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c09c', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_ioas_align_change on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_ioas_align_change', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c09d', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_ioas_iova_alloc on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.250000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_ioas_iova_alloc', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c09e', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_ioas_option_huge_pages on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_ioas_option_huge_pages', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c09f', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_fork_present on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_fork_present', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0a0', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_fork_gone on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_fork_gone', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0a1', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_access_rw_unaligned on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_access_rw_unaligned', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0a2', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_access_rw on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_access_rw', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0a3', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_access_pin_unmap on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_access_pin_unmap', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0a4', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_access_pin on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_access_pin', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0a5', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_access_domain_destory on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_access_domain_destory', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0a6', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_iova_ranges on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_iova_ranges', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0a7', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_copy_area on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_copy_area', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0a8', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_area_allowed on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_area_allowed', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0a9', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_area_auto_iova on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_area_auto_iova', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0aa', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_unmap_fully_contained_areas on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_unmap_fully_contained_areas', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0ab', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_area on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_area', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0ac', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_get_hw_info on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_get_hw_info', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0ad', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_ioas_area_auto_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_ioas_area_auto_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0ae', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_ioas_area_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_ioas_area_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0af', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_hwpt_attach on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_hwpt_attach', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0b0', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_alloc_hwpt_nested on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_alloc_hwpt_nested', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0b1', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_ioas_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.251000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_ioas_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0b2', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_ioas_no_domain_ioas_auto_destroy on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_ioas_no_domain_ioas_auto_destroy', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0b3', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_vfio_ioas on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_vfio_ioas', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0b4', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_set_iommu_cmd on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_set_iommu_cmd', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0b5', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_info_cmd on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_info_cmd', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0b6', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_map_cmd on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_map_cmd', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0b7', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_unmap_cmd on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_unmap_cmd', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0b8', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_simple_ioctls on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_simple_ioctls', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0b9', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_global_options on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_global_options', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0ba', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_cmd_ex_fail on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_cmd_ex_fail', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0bb', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_cmd_length on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_cmd_length', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0bc', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_cmd_fail on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_cmd_fail', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0bd', 'origin': 'maestro', 'comment': 'iommu_iommufd_iommufd_simple_close on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.iommu_iommufd_iommufd_simple_close', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04e7dbca8c035cac2c0be', 'origin': 'maestro', 'comment': 'shardfile-iommu on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:06:05.252000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759366', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759366'}}, 'waived': False, 'path': 'kselftest.iommu.shardfile-iommu', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-iommu-66f04aee8f2c39d354f31ad8/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ULT=fail>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:07:57 PM UTC [ERROR] Aborting, invalid data 09/22/2024 05:07:57 PM UTC [ERROR] Validation error: 'INCOMPLETE' is not one of ['FAIL', 'ERROR', 'MISS', 'PASS', 'DONE', 'SKIP'] Failed validating 'enum' in schema['properties']['tests']['items']['properties']['status']: {'title': 'status', 'type': 'string', 'description': 'The test status string, one of the following:\n' '\n' '"FAIL" - the test completed and reported the tested ' 'code as faulty.\n' '\n' '"ERROR" - the test didn\'t complete due to a failure ' 'in its code, and the status of the tested code is ' 'unknown.\n' '\n' '"MISS" - the test didn\'t run due to a failure in the ' 'test harness, and the status of both the test and the ' 'tested code is unknown.\n' '\n' '"PASS" - the test completed and reported the tested ' 'code as correct.\n' '\n' '"DONE" - the test completed and had not reported the ' 'status of the tested code, but, for example, produced ' 'a performance measurement result.\n' '\n' '"SKIP" - the test did not run or complete, because it ' 'was not applicable, and the status of both the test ' 'and the tested code is unknown.\n' '\n' 'The status names above are listed in priority order ' '(highest to lowest), which can be used to produce a ' 'summary status for a collection of test runs.\n' '\n' 'For example, the summary status for all testing done ' 'on a build would be the highest-priority status ' 'across all its tests.', 'enum': ['FAIL', 'ERROR', 'MISS', 'PASS', 'DONE', 'SKIP']} On instance['tests'][0]['status']: 'INCOMPLETE' 09/22/2024 05:07:57 PM UTC [INFO] Received an event for node: 66f04ac28f2c39d354f31aae 09/22/2024 05:08:00 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04ac28f2c39d354f31aae', 'origin': 'maestro', 'comment': 'baseline-arm64-mediatek on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T16:50:10.122000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759324', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759324'}}, 'waived': False, 'path': 'boot', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'INCOMPLETE', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-mediatek-66f04ac28f2c39d354f31aae/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-mediatek-66f04ac28f2c39d354f31aae/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'list:\n[ 2.394983] No soundcards found.\n[ 2.400911] Freeing unused kernel memory: 11136K\n[ 2.404683] mmc1: queuing unknown CIS tuple 0x1a [01 01 00 02 07] (5 bytes)\n[ 2.405598] Run /init as init process\n[ 2.416432] mmc1: queuing unknown CIS tuple 0x1b [c1 41 30 30 ff ff 32 00] (8 bytes)\n[ 2.425452] mmc1: queuing unknown CIS tuple 0x14 [] (0 bytes)\nStarting syslogd[ 2.439409] mmc1: new ultra high speed SDR104 SDIO card at address 0001\n: OK\nStarting klogd: OK\nRunning sysctl: OK\nPopulating /dev using udev: [ 2.462103] udevd[168]: starting version 3.2.9\n[ 2.469736] udevd[168]: specified user \'tss\' unknown\n[ 2.474932] udevd[168]: specified group \'tss\' unknown\n[ 2.481110] udevd[169]: starting eudev-3.2.9\n[ 2.490967] hub 1-1:1.0: USB hub found\n[ 2.495321] hub 1-1:1.0: 3 ports detected\n[ 2.505245] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.517072] usbcore: registered new device driver onboard-usb-dev\n[ 2.612203] pstore: Using crash dump compression: deflate\n[ 2.616728] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.617721] pstore: Registered ramoops as persistent store backend\n[ 2.634576] ramoops: using 0x100000@0xffedc000, ecc: 0\n[ 2.668064] hub 1-1:1.0: USB hub found\n[ 2.671193] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.672145] hub 1-1:1.0: 3 ports detected\n[ 2.689415] onboard-usb-dev 11200000.usb:hub@1: supply vdd not found, using dummy regulator\n[ 2.699054] hub 1-1:1.0: USB hub found\n[ 2.703095] hub 1-1:1.0: 3 ports detected\n[ 2.703732] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.729834] mtk-wdt 10007000.watchdog: Watchdog enabled (timeout=31 sec, nowayout=0)\n[ 2.741908] elants_i2c 0-0010: supply vcc33 not found, using dummy regulator\n[ 2.746781] thermal_sys: Failed to find \'trips\' node\n[ 2.749405] elants_i2c 0-0010: supply vccio not found, using dummy regulator\n[ 2.753983] thermal_sys: Failed to find trip points for thermal-sensor1 id=0\n[ 2.768164] generic-adc-thermal thermal-sensor1: Thermal zone sensor register failed: -22\n[ 2.776455] generic-adc-thermal thermal-sensor1: probe with driver generic-adc-thermal failed with error -22\n[ 2.788664] thermal_sys: Failed to find \'trips\' node\n[ 2.788692] mt8183-pinctrl 10005000.pinctrl: pin GPIO7 already requested by 2-002c; cannot claim for 2-0015\n[ 2.790086] input: mtk-pmic-keys as /devices/platform/soc/1000d000.pwrap/1000d000.pwrap:pmic/mt6358-keys/input/input6\n[ 2.793664] thermal_sys: Failed to find trip points for thermal-sensor2 id=0\n[ 2.793675] generic-adc-thermal thermal-sensor2: Thermal zone sensor register failed: -22\n[ 2.793680] generic-adc-thermal thermal-sensor2: probe with driver generic-adc-thermal failed with error -22\n[ 2.806785] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.814126] mt8183-pinctrl 10005000.pinctrl: error -EINVAL: pin-7 (2-0015)\n[ 2.856723] mt8183-pinctrl 10005000.pinctrl: error -EINVAL: could not request pin 7 (GPIO7) from group GPIO7 on device pinctrl_paris\n[ 2.857289] mc: Linux media interface: v0.10\n[ 2.863932] sbs-battery 12-000b: sbs-battery: battery gas gauge device registered\n[ 2.868756] elan_i2c 2-0015: Error applying setting, reverse things back\n[ 2.873706] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.911093] input: Elan Touchscreen as /devices/platform/soc/11007000.i2c/i2c-0/0-0010/input/input7\n[ 2.919866] mt8183-audio 11220000.audio-controller:mt8183-afe-pcm: No cache defaults, reading back from HW\n[ 2.931161] mt8183-audio 11220000.audio-controller:mt8183-afe-pcm: mtk_afe_combine_sub_dai(), num of dai 20\n[ 2.941272] mtk-scp 10500000.scp: assigned reserved memory node memory@50000000\n[ 2.942278] videodev: Linux video capture interface: v2.00\n[ 2.944694] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.945045] debugfs: Directory \'11220000.audio-controller:mt8183-afe-pcm\' with parent \'mt8183_mt6358_ts3a227_max98357\' already present!\n[ 2.945668] mediatek-dpi 14015000.dpi: error -ENODEV: Failed to get bridge\n[ 2.946929] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@14008000\n[ 2.946969] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@14009000\n[ 2.946995] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@1400a000\n[ 2.947020] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/rdma@1400b000\n[ 2.947069] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/rdma@1400c000\n[ 2.947103] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/color@1400e000\n[ 2.947129] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ccorr@1400f000\n[ 2.947154] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/aal@14010000\n[ 2.947179] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/gamma@14011000\n[ 2.947265] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/dsi@14014000\n[ 2.947292] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/dpi@14015000\n[ 2.957230] cfg80211: Loading compiled-in X.509 certificates for regulatory database\n[ 2.965497] remoteproc remoteproc0: scp is available\n[ 2.966431] Bluetooth: Core ver 2.22\n[ 2.966532] NET: Registered PF_BLUETOOTH protocol family\n[ 2.966535] Bluetooth: HCI device and connection manager initialized\n[ 2.966546] Bluetooth: HCI socket layer initialized\n[ 2.966551] Bluetooth: L2CAP socket layer initialized\n[ 2.966557] Bluetooth: SCO socket layer initialized\n[ 2.966810] cs_system_cfg: CoreSight Configuration manager initialised\n[ 3.000567] usb 1-1.1: new high-speed USB device number 3 using xhci-mtk\n[ 3.001010] coresight-cpu-debug d410000.cpu-debug: Coresight debug-CPU0 initialized\n[ 3.001103] coresight-cpu-debug d510000.cpu-debug: Coresight debug-CPU1 initialized\n[ 3.001162] coresight-cpu-debug d610000.cpu-debug: Coresight debug-CPU2 initialized\n[ 3.001310] coresight-cpu-debug d710000.cpu-debug: Coresight debug-CPU3 initialized\n[ 3.001367] coresight-cpu-debug d810000.cpu-debug: Coresight debug-CPU4 initialized\n[ 3.003016] Loaded X.509 cert \'sforshee: 00b28ddf47aef9cea7\'\n[ 3.003507] Loaded X.509 cert \'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600\'\n[ 3.003580] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2\n[ 3.003589] cfg80211: failed to load regulatory.db\n[ 3.007068] udevd[169]: specified user \'tss\' unknown\n[ 3.007121] udevd[169]: specified group \'tss\' unknown\n[ 3.008960] remoteproc remoteproc0: powering up scp\n[ 3.009497] coresight-cpu-debug d910000.cpu-debug: Coresight debug-CPU5 initialized\n[ 3.009612] coresight-cpu-debug da10000.cpu-debug: Coresight debug-CPU6 initialized\n[ 3.017427] coresight-cpu-debug db10000.cpu-debug: Coresight debug-CPU7 initialized\n[ 3.025835] remoteproc remoteproc0: Booting fw image mediatek/mt8183/scp.img, size 1030776\n[ 3.052181] Bluetooth: HCI UART driver ver 2.3\n[ 3.059791] mtk-scp 10500000.scp: IPI buf addr 0x0007bdb0\n[ 3.071175] Bluetooth: HCI UART protocol H4 registered\n[ 3.172494] hub 1-1.1:1.0: USB hub found\n[ 3.177001] Bluetooth: HCI UART protocol LL registered\n[ 3.184294] hub 1-1.1:1.0: 4 ports detected\n[ 3.192904] Bluetooth: HCI UART protocol Three-wire (H5) registered\n[ 3.193689] mt8183_mt6358_ts3a227 mt8183-sound: ASoC: driver name too long \'mt8183_mt6358_ts3a227_max98357\' -> \'mt8183_mt6358_t\'\n[ 3.194713] debugfs: File \'Playback\' in directory \'dapm\' already present!\n[ 3.194723] debugfs: File \'Capture\' in directory \'dapm\' already present!\n[ 3.197306] input: mt8183_mt6358_ts3a227_max98357 Headset Jack as /devices/platform/mt8183-sound/sound/card0/input8\n[ 3.202396] mtk-iommu 10205000.iommu: bound 14017000.larb (ops mtk_smi_larb_component_ops)\n[ 3.202822] Bluetooth: HCI UART protocol Broadcom registered\n[ 3.207559] mtk-iommu 10205000.iommu: bound 16010000.larb (ops mtk_smi_larb_component_ops)\n[ 3.212425] Bluetooth: HCI UART protocol QCA registered\n[ 3.213637] Bluetooth: hci0: setting up ROME/QCA6390\n[ 3.220047] mtk-iommu 10205000.iommu: bound 1502f000.larb (ops mtk_smi_larb_component_ops)\n[ 3.225159] mtk-scp 10500000.scp: creating channel cros-ec-rpmsg addr 0xd\n[ 3.226325] cros-ec-dev cros-ec-dev.15.auto: CrOS System Control Processor MCU detected\n[ 3.227708] mtk-scp 10500000.scp: SCP is ready. FW version kukui_scp_v2.0.13324-280b9fce97\n[ 3.228710] Bluetooth: HCI UART protocol Marvell registered\n[ 3.231825] ath10k_sdio mmc1:0001:1: qca6174 hw3.2 sdio target 0x05030000 chip_id 0x00000000 sub 0000:0000\n[ 3.231840] ath10k_sdio mmc1:0001:1: kconfig debug 0 debugfs 0 tracing 0 dfs 0 testmode 0\n[ 3.232188] ath10k_sdio mmc1:0001:1: firmware ver WLAN.RMH.4.4.1-00174 api 6 features wowlan,ignore-otp,mfp crc32 7319fa77\n[ 3.232632] cros-ec-rpmsg 10500000.scp.cros-ec-rpmsg.13.-1: Chrome EC device registered\n[ 3.235356] mtk-iommu 10205000.iommu: bound 1a002000.larb (ops mtk_smi_larb_component_ops)\n[ 3.243629] remoteproc remoteproc0: remote processor scp is now up\n[ 3.271303] usb 1-1.2: new high-speed USB device number 4 using xhci-mtk\n[ 3.271933] mtk-iommu 10205000.iommu: bound 17010000.larb (ops mtk_smi_larb_component_ops)\n[ 3.380666] ath10k_sdio mmc1:0001:1: board_file api 2 bmi_id 0:4 crc32 d2863f91\n[ 3.382826] mtk-iommu 10205000.iommu: bound 15021000.larb (ops mtk_smi_larb_component_ops)\n[ 3.398361] sbs-battery 12-000b: I2C adapter does not support I2C_FUNC_SMBUS_READ_BLOCK_DATA.\n[ 3.398361] Fallback method does not support PEC.\n[ 3.400534] mtk-iommu 10205000.iommu: bound 1a001000.larb (ops mtk_smi_larb_component_ops)\n[ 3.414551] power_supply sbs-12-000b: driver failed to report `technology\' property: -6\n[ 3.420551] panfrost 13040000.gpu: clock rate = 511999970\n[ 3.422119] Bluetooth: hci0: Frame reassembly failed (-84)\n[ 3.434893] power_supply sbs-12-000b: driver failed to report `technology\' property: -5\n[ 3.442496] panfrost 13040000.gpu: mali-g72 id 0x6221 major 0x0 minor 0x3 status 0x0\n[ 3.451478] r8152-cfgselector 1-1.2: reset high-speed USB device number 4 using xhci-mtk\n[ 3.456277] panfrost 13040000.gpu: features: 00000000,000004f7, issues: 00000000,00000400\n[ 3.456281] panfrost 13040000.gpu: Features: L2:0x07120206 Shader:0x00000000 Tiler:0x00000809 Mem:0x1 MMU:0x00002830 AS:0xff JS:0x7\n[ 3.513496] power_supply sbs-12-000b: driver failed to report `technology\' property: -5\n[ 3.520582] panfrost 13040000.gpu: shader_present=0x7 l2_present=0x1\n[ 3.521450] [drm] Initialized panfrost 1.2.0 for 13040000.gpu on minor 0\n[ 3.562298] r8152 1-1.2:1.0: Direct firmware load for rtl_nic/rtl8153b-2.fw failed with error -2\n[ 3.578708] r8152 1-1.2:1.0: unable to load firmware patch rtl_nic/rtl8153b-2.fw (-2)\n[ 3.631076] usb 1-1.1.1: new high-speed USB device number 5 using xhci-mtk\n[ 3.638131] r8152 1-1.2:1.0 eth0: v1.12.13\n[ 3.680579] Bluetooth: hci0: QCA Product ID :0x00000008\n[ 3.686015] Bluetooth: hci0: QCA SOC Version :0x00000044\n[ 3.691429] Bluetooth: hci0: QCA ROM Version :0x00000302\n[ 3.696914] Bluetooth: hci0: QCA Patch Version:0x00000111\n[ 3.702350] Bluetooth: hci0: QCA controller version 0x00440302\n[ 3.708253] Bluetooth: hci0: QCA Downloading qca/rampatch_00440302.bin\n[ 3.714852] bluetooth hci0: Direct firmware load for qca/rampatch_00440302.bin failed with error -2\n[ 3.723965] Bluetooth: hci0: QCA Failed to request file: qca/rampatch_00440302.bin (-2)\n[ 3.731986] Bluetooth: hci0: QCA Failed to download patch (-2)\n[ 3.755100] ath10k_sdio mmc1:0001:1: htt-ver 3.87 wmi-op 4 htt-op 3 cal otp max-sta 32 raw 0 hwcrypto 1\n[ 3.767985] panel-simple-dp-aux aux-4-0058: Detected AUO B116XAB01.4 (0x145c)\n[ 3.777464] anx7625 4-0058: Failed to create device link (0x180) with backlight_lcd0\n[ 3.787889] mediatek-disp-ovl 14008000.ovl: Adding to iommu group 0\n[ 3.794402] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=52 arg=00000C00; host->error=0x00000002\n[ 3.797185] mediatek-disp-ovl 14009000.ovl: Adding to iommu group 0\n[ 3.803832] usb 1-1.3: new high-speed USB device number 6 using xhci-mtk\n[ 3.804206] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=52 arg=80000C08; host->error=0x00000002\n[ 3.809169] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=8 arg=000001AA; host->error=0x00000002\n[ 3.812807] mediatek-disp-ovl 1400a000.ovl: Adding to iommu group 0\n[ 3.842735] mediatek-disp-rdma 1400b000.rdma: Adding to iommu group 0\n[ 3.842907] mmc1: queuing unknown CIS tuple 0x01 [d9 01 ff] (3 bytes)\n[ 3.850982] mediatek-disp-rdma 1400c000.rdma: Adding to iommu group 0\n[ 3.863897] mtk-mdp3 14001000.dma-controller0: Adding to iommu group 0\n[ 3.864821] mmc1: queuing unknown CIS tuple 0x1a [01 01 00 02 07] (5 bytes)\n[ 3.871283] mtk-mdp3 14001000.dma-controller0: can\'t get SCP node\n[ 3.880881] mmc1: queuing unknown CIS tuple 0x1b [c1 41 30 30 ff ff 32 00] (8 bytes)\n[ 3.883949] mtk-mdp3 14001000.dma-controller0: Driver registered as /dev/video0\n[ 3.892763] mtk-jpeg 17030000.jpeg-encoder: Adding to iommu group 0\n[ 3.893163] mmc1: queuing unknown CIS tuple 0x14 [] (0 bytes)\n[ 3.900679] mtk-jpeg 17030000.jpeg-encoder: mtk-jpeg-enc device registered as /dev/video1 (81,1)\n[ 3.922385] mtk-vcodec-dec 16020000.video-codec: Adding to iommu group 0\n[ 3.933038] mtk-svs 1100bc00.svs: M_HW_RES0: 0x00320090\n[ 3.938312] mtk-svs 1100bc00.svs: M_HW_RES1: 0xa3ffff5f\n[ 3.943552] mtk-svs 1100bc00.svs: M_HW_RES2: 0x47cf47cf\n[ 3.948776] mtk-svs 1100bc00.svs: M_HW_RES3: 0xa3ffff5f\n[ 3.954000] mtk-svs 1100bc00.svs: M_HW_RES4: 0xa3fff1aa\n[ 3.959220] mtk-svs 1100bc00.svs: M_HW_RES5: 0x47ec4b82\n[ 3.964443] mtk-svs 1100bc00.svs: M_HW_RES6: 0xa3ff9aac\n[ 3.969661] mtk-svs 1100bc00.svs: M_HW_RES7: 0xa3ff1c13\n[ 3.974881] mtk-svs 1100bc00.svs: M_HW_RES8: 0x4bec4beb\n[ 3.979509] r8152-cfgselector 1-1.1.1: reset high-speed USB device number 5 using xhci-mtk\n[ 3.980097] mtk-svs 1100bc00.svs: M_HW_RES9: 0xa3ff7b02\n[ 3.993574] mtk-svs 1100bc00.svs: M_HW_RES14: 0x06ce7583\n[ 3.996748] usb 1-1.3: Found UVC 1.00 device HD WebCam (04f2:b567)\n[ 3.998879] mtk-svs 1100bc00.svs: M_HW_RES15: 0x00220010\n[ 4.010350] mtk-svs 1100bc00.svs: M_HW_RES16: 0xa3ffdf46\n[ 4.015656] mtk-svs 1100bc00.svs: M_HW_RES17: 0x47ec47eb\n[ 4.020960] mtk-svs 1100bc00.svs: M_HW_RES18: 0xa3ffd443\n[ 4.026482] SVSB_GPU: cannot get "gpu-thermal" thermal zone\n[ 4.028007] usbcore: registered new interface driver uvcvideo\n[ 4.032142] mtk-svs 1100bc00.svs: error -ENODEV: svs bank resource setup fail\n[ 4.091233] r8152 1-1.1.1:1.0: Direct firmware load for rtl_nic/rtl8153b-2.fw failed with error -2\n[ 4.100603] r8152 1-1.1.1:1.0: unable to load firmware patch rtl_nic/rtl8153b-2.fw (-2)\n[ 4.153797] r8152 1-1.1.1:1.0 eth1: v1.12.13\ndone\nSaving random seed: OK\nStarting network: OK\nStarting dropbear sshd: [ 4.272459] NET: Registered PF_INET6 protocol family\n[ 4.278558] Segment Routing with IPv6\n[ 4.282267] In-situ OAM (IOAM) with IPv6\nOK\n/bin/sh: can\'t access tty; job control turned off\n/ #\n/ # #\n/ # export SHELL=/bin/sh\n/ # . /lava-15759324/environment\n/ # /lava-15759324/bin/lava-test-runner /lava-15759324/0\n+ export \'TESTRUN_ID=0_dmesg\'\n+[ 10.731378] \ncd /lava-15759324/0/tests/0_dmesg\n+ cat uuid\n+ UUID=15759324_1.5.2.3.1\n+ set +x\n+ KERNELCI_LAVA=y /bin/sh /opt/kernelci/dmesg.sh\n[ 10.757678] \n[ 10.781538] \n[ 10.809555] \n+ set +x\n[ 10.819521] \n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04edebca8c035cac2c0c3', 'origin': 'maestro', 'comment': 'emerg on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:07:42.941000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759324', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759324'}}, 'waived': False, 'path': 'boot.dmesg.emerg', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-mediatek-66f04ac28f2c39d354f31aae/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-mediatek-66f04ac28f2c39d354f31aae/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'list:\n[ 2.394983] No soundcards found.\n[ 2.400911] Freeing unused kernel memory: 11136K\n[ 2.404683] mmc1: queuing unknown CIS tuple 0x1a [01 01 00 02 07] (5 bytes)\n[ 2.405598] Run /init as init process\n[ 2.416432] mmc1: queuing unknown CIS tuple 0x1b [c1 41 30 30 ff ff 32 00] (8 bytes)\n[ 2.425452] mmc1: queuing unknown CIS tuple 0x14 [] (0 bytes)\nStarting syslogd[ 2.439409] mmc1: new ultra high speed SDR104 SDIO card at address 0001\n: OK\nStarting klogd: OK\nRunning sysctl: OK\nPopulating /dev using udev: [ 2.462103] udevd[168]: starting version 3.2.9\n[ 2.469736] udevd[168]: specified user \'tss\' unknown\n[ 2.474932] udevd[168]: specified group \'tss\' unknown\n[ 2.481110] udevd[169]: starting eudev-3.2.9\n[ 2.490967] hub 1-1:1.0: USB hub found\n[ 2.495321] hub 1-1:1.0: 3 ports detected\n[ 2.505245] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.517072] usbcore: registered new device driver onboard-usb-dev\n[ 2.612203] pstore: Using crash dump compression: deflate\n[ 2.616728] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.617721] pstore: Registered ramoops as persistent store backend\n[ 2.634576] ramoops: using 0x100000@0xffedc000, ecc: 0\n[ 2.668064] hub 1-1:1.0: USB hub found\n[ 2.671193] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.672145] hub 1-1:1.0: 3 ports detected\n[ 2.689415] onboard-usb-dev 11200000.usb:hub@1: supply vdd not found, using dummy regulator\n[ 2.699054] hub 1-1:1.0: USB hub found\n[ 2.703095] hub 1-1:1.0: 3 ports detected\n[ 2.703732] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.729834] mtk-wdt 10007000.watchdog: Watchdog enabled (timeout=31 sec, nowayout=0)\n[ 2.741908] elants_i2c 0-0010: supply vcc33 not found, using dummy regulator\n[ 2.746781] thermal_sys: Failed to find \'trips\' node\n[ 2.749405] elants_i2c 0-0010: supply vccio not found, using dummy regulator\n[ 2.753983] thermal_sys: Failed to find trip points for thermal-sensor1 id=0\n[ 2.768164] generic-adc-thermal thermal-sensor1: Thermal zone sensor register failed: -22\n[ 2.776455] generic-adc-thermal thermal-sensor1: probe with driver generic-adc-thermal failed with error -22\n[ 2.788664] thermal_sys: Failed to find \'trips\' node\n[ 2.788692] mt8183-pinctrl 10005000.pinctrl: pin GPIO7 already requested by 2-002c; cannot claim for 2-0015\n[ 2.790086] input: mtk-pmic-keys as /devices/platform/soc/1000d000.pwrap/1000d000.pwrap:pmic/mt6358-keys/input/input6\n[ 2.793664] thermal_sys: Failed to find trip points for thermal-sensor2 id=0\n[ 2.793675] generic-adc-thermal thermal-sensor2: Thermal zone sensor register failed: -22\n[ 2.793680] generic-adc-thermal thermal-sensor2: probe with driver generic-adc-thermal failed with error -22\n[ 2.806785] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.814126] mt8183-pinctrl 10005000.pinctrl: error -EINVAL: pin-7 (2-0015)\n[ 2.856723] mt8183-pinctrl 10005000.pinctrl: error -EINVAL: could not request pin 7 (GPIO7) from group GPIO7 on device pinctrl_paris\n[ 2.857289] mc: Linux media interface: v0.10\n[ 2.863932] sbs-battery 12-000b: sbs-battery: battery gas gauge device registered\n[ 2.868756] elan_i2c 2-0015: Error applying setting, reverse things back\n[ 2.873706] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.911093] input: Elan Touchscreen as /devices/platform/soc/11007000.i2c/i2c-0/0-0010/input/input7\n[ 2.919866] mt8183-audio 11220000.audio-controller:mt8183-afe-pcm: No cache defaults, reading back from HW\n[ 2.931161] mt8183-audio 11220000.audio-controller:mt8183-afe-pcm: mtk_afe_combine_sub_dai(), num of dai 20\n[ 2.941272] mtk-scp 10500000.scp: assigned reserved memory node memory@50000000\n[ 2.942278] videodev: Linux video capture interface: v2.00\n[ 2.944694] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.945045] debugfs: Directory \'11220000.audio-controller:mt8183-afe-pcm\' with parent \'mt8183_mt6358_ts3a227_max98357\' already present!\n[ 2.945668] mediatek-dpi 14015000.dpi: error -ENODEV: Failed to get bridge\n[ 2.946929] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@14008000\n[ 2.946969] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@14009000\n[ 2.946995] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@1400a000\n[ 2.947020] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/rdma@1400b000\n[ 2.947069] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/rdma@1400c000\n[ 2.947103] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/color@1400e000\n[ 2.947129] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ccorr@1400f000\n[ 2.947154] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/aal@14010000\n[ 2.947179] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/gamma@14011000\n[ 2.947265] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/dsi@14014000\n[ 2.947292] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/dpi@14015000\n[ 2.957230] cfg80211: Loading compiled-in X.509 certificates for regulatory database\n[ 2.965497] remoteproc remoteproc0: scp is available\n[ 2.966431] Bluetooth: Core ver 2.22\n[ 2.966532] NET: Registered PF_BLUETOOTH protocol family\n[ 2.966535] Bluetooth: HCI device and connection manager initialized\n[ 2.966546] Bluetooth: HCI socket layer initialized\n[ 2.966551] Bluetooth: L2CAP socket layer initialized\n[ 2.966557] Bluetooth: SCO socket layer initialized\n[ 2.966810] cs_system_cfg: CoreSight Configuration manager initialised\n[ 3.000567] usb 1-1.1: new high-speed USB device number 3 using xhci-mtk\n[ 3.001010] coresight-cpu-debug d410000.cpu-debug: Coresight debug-CPU0 initialized\n[ 3.001103] coresight-cpu-debug d510000.cpu-debug: Coresight debug-CPU1 initialized\n[ 3.001162] coresight-cpu-debug d610000.cpu-debug: Coresight debug-CPU2 initialized\n[ 3.001310] coresight-cpu-debug d710000.cpu-debug: Coresight debug-CPU3 initialized\n[ 3.001367] coresight-cpu-debug d810000.cpu-debug: Coresight debug-CPU4 initialized\n[ 3.003016] Loaded X.509 cert \'sforshee: 00b28ddf47aef9cea7\'\n[ 3.003507] Loaded X.509 cert \'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600\'\n[ 3.003580] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2\n[ 3.003589] cfg80211: failed to load regulatory.db\n[ 3.007068] udevd[169]: specified user \'tss\' unknown\n[ 3.007121] udevd[169]: specified group \'tss\' unknown\n[ 3.008960] remoteproc remoteproc0: powering up scp\n[ 3.009497] coresight-cpu-debug d910000.cpu-debug: Coresight debug-CPU5 initialized\n[ 3.009612] coresight-cpu-debug da10000.cpu-debug: Coresight debug-CPU6 initialized\n[ 3.017427] coresight-cpu-debug db10000.cpu-debug: Coresight debug-CPU7 initialized\n[ 3.025835] remoteproc remoteproc0: Booting fw image mediatek/mt8183/scp.img, size 1030776\n[ 3.052181] Bluetooth: HCI UART driver ver 2.3\n[ 3.059791] mtk-scp 10500000.scp: IPI buf addr 0x0007bdb0\n[ 3.071175] Bluetooth: HCI UART protocol H4 registered\n[ 3.172494] hub 1-1.1:1.0: USB hub found\n[ 3.177001] Bluetooth: HCI UART protocol LL registered\n[ 3.184294] hub 1-1.1:1.0: 4 ports detected\n[ 3.192904] Bluetooth: HCI UART protocol Three-wire (H5) registered\n[ 3.193689] mt8183_mt6358_ts3a227 mt8183-sound: ASoC: driver name too long \'mt8183_mt6358_ts3a227_max98357\' -> \'mt8183_mt6358_t\'\n[ 3.194713] debugfs: File \'Playback\' in directory \'dapm\' already present!\n[ 3.194723] debugfs: File \'Capture\' in directory \'dapm\' already present!\n[ 3.197306] input: mt8183_mt6358_ts3a227_max98357 Headset Jack as /devices/platform/mt8183-sound/sound/card0/input8\n[ 3.202396] mtk-iommu 10205000.iommu: bound 14017000.larb (ops mtk_smi_larb_component_ops)\n[ 3.202822] Bluetooth: HCI UART protocol Broadcom registered\n[ 3.207559] mtk-iommu 10205000.iommu: bound 16010000.larb (ops mtk_smi_larb_component_ops)\n[ 3.212425] Bluetooth: HCI UART protocol QCA registered\n[ 3.213637] Bluetooth: hci0: setting up ROME/QCA6390\n[ 3.220047] mtk-iommu 10205000.iommu: bound 1502f000.larb (ops mtk_smi_larb_component_ops)\n[ 3.225159] mtk-scp 10500000.scp: creating channel cros-ec-rpmsg addr 0xd\n[ 3.226325] cros-ec-dev cros-ec-dev.15.auto: CrOS System Control Processor MCU detected\n[ 3.227708] mtk-scp 10500000.scp: SCP is ready. FW version kukui_scp_v2.0.13324-280b9fce97\n[ 3.228710] Bluetooth: HCI UART protocol Marvell registered\n[ 3.231825] ath10k_sdio mmc1:0001:1: qca6174 hw3.2 sdio target 0x05030000 chip_id 0x00000000 sub 0000:0000\n[ 3.231840] ath10k_sdio mmc1:0001:1: kconfig debug 0 debugfs 0 tracing 0 dfs 0 testmode 0\n[ 3.232188] ath10k_sdio mmc1:0001:1: firmware ver WLAN.RMH.4.4.1-00174 api 6 features wowlan,ignore-otp,mfp crc32 7319fa77\n[ 3.232632] cros-ec-rpmsg 10500000.scp.cros-ec-rpmsg.13.-1: Chrome EC device registered\n[ 3.235356] mtk-iommu 10205000.iommu: bound 1a002000.larb (ops mtk_smi_larb_component_ops)\n[ 3.243629] remoteproc remoteproc0: remote processor scp is now up\n[ 3.271303] usb 1-1.2: new high-speed USB device number 4 using xhci-mtk\n[ 3.271933] mtk-iommu 10205000.iommu: bound 17010000.larb (ops mtk_smi_larb_component_ops)\n[ 3.380666] ath10k_sdio mmc1:0001:1: board_file api 2 bmi_id 0:4 crc32 d2863f91\n[ 3.382826] mtk-iommu 10205000.iommu: bound 15021000.larb (ops mtk_smi_larb_component_ops)\n[ 3.398361] sbs-battery 12-000b: I2C adapter does not support I2C_FUNC_SMBUS_READ_BLOCK_DATA.\n[ 3.398361] Fallback method does not support PEC.\n[ 3.400534] mtk-iommu 10205000.iommu: bound 1a001000.larb (ops mtk_smi_larb_component_ops)\n[ 3.414551] power_supply sbs-12-000b: driver failed to report `technology\' property: -6\n[ 3.420551] panfrost 13040000.gpu: clock rate = 511999970\n[ 3.422119] Bluetooth: hci0: Frame reassembly failed (-84)\n[ 3.434893] power_supply sbs-12-000b: driver failed to report `technology\' property: -5\n[ 3.442496] panfrost 13040000.gpu: mali-g72 id 0x6221 major 0x0 minor 0x3 status 0x0\n[ 3.451478] r8152-cfgselector 1-1.2: reset high-speed USB device number 4 using xhci-mtk\n[ 3.456277] panfrost 13040000.gpu: features: 00000000,000004f7, issues: 00000000,00000400\n[ 3.456281] panfrost 13040000.gpu: Features: L2:0x07120206 Shader:0x00000000 Tiler:0x00000809 Mem:0x1 MMU:0x00002830 AS:0xff JS:0x7\n[ 3.513496] power_supply sbs-12-000b: driver failed to report `technology\' property: -5\n[ 3.520582] panfrost 13040000.gpu: shader_present=0x7 l2_present=0x1\n[ 3.521450] [drm] Initialized panfrost 1.2.0 for 13040000.gpu on minor 0\n[ 3.562298] r8152 1-1.2:1.0: Direct firmware load for rtl_nic/rtl8153b-2.fw failed with error -2\n[ 3.578708] r8152 1-1.2:1.0: unable to load firmware patch rtl_nic/rtl8153b-2.fw (-2)\n[ 3.631076] usb 1-1.1.1: new high-speed USB device number 5 using xhci-mtk\n[ 3.638131] r8152 1-1.2:1.0 eth0: v1.12.13\n[ 3.680579] Bluetooth: hci0: QCA Product ID :0x00000008\n[ 3.686015] Bluetooth: hci0: QCA SOC Version :0x00000044\n[ 3.691429] Bluetooth: hci0: QCA ROM Version :0x00000302\n[ 3.696914] Bluetooth: hci0: QCA Patch Version:0x00000111\n[ 3.702350] Bluetooth: hci0: QCA controller version 0x00440302\n[ 3.708253] Bluetooth: hci0: QCA Downloading qca/rampatch_00440302.bin\n[ 3.714852] bluetooth hci0: Direct firmware load for qca/rampatch_00440302.bin failed with error -2\n[ 3.723965] Bluetooth: hci0: QCA Failed to request file: qca/rampatch_00440302.bin (-2)\n[ 3.731986] Bluetooth: hci0: QCA Failed to download patch (-2)\n[ 3.755100] ath10k_sdio mmc1:0001:1: htt-ver 3.87 wmi-op 4 htt-op 3 cal otp max-sta 32 raw 0 hwcrypto 1\n[ 3.767985] panel-simple-dp-aux aux-4-0058: Detected AUO B116XAB01.4 (0x145c)\n[ 3.777464] anx7625 4-0058: Failed to create device link (0x180) with backlight_lcd0\n[ 3.787889] mediatek-disp-ovl 14008000.ovl: Adding to iommu group 0\n[ 3.794402] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=52 arg=00000C00; host->error=0x00000002\n[ 3.797185] mediatek-disp-ovl 14009000.ovl: Adding to iommu group 0\n[ 3.803832] usb 1-1.3: new high-speed USB device number 6 using xhci-mtk\n[ 3.804206] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=52 arg=80000C08; host->error=0x00000002\n[ 3.809169] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=8 arg=000001AA; host->error=0x00000002\n[ 3.812807] mediatek-disp-ovl 1400a000.ovl: Adding to iommu group 0\n[ 3.842735] mediatek-disp-rdma 1400b000.rdma: Adding to iommu group 0\n[ 3.842907] mmc1: queuing unknown CIS tuple 0x01 [d9 01 ff] (3 bytes)\n[ 3.850982] mediatek-disp-rdma 1400c000.rdma: Adding to iommu group 0\n[ 3.863897] mtk-mdp3 14001000.dma-controller0: Adding to iommu group 0\n[ 3.864821] mmc1: queuing unknown CIS tuple 0x1a [01 01 00 02 07] (5 bytes)\n[ 3.871283] mtk-mdp3 14001000.dma-controller0: can\'t get SCP node\n[ 3.880881] mmc1: queuing unknown CIS tuple 0x1b [c1 41 30 30 ff ff 32 00] (8 bytes)\n[ 3.883949] mtk-mdp3 14001000.dma-controller0: Driver registered as /dev/video0\n[ 3.892763] mtk-jpeg 17030000.jpeg-encoder: Adding to iommu group 0\n[ 3.893163] mmc1: queuing unknown CIS tuple 0x14 [] (0 bytes)\n[ 3.900679] mtk-jpeg 17030000.jpeg-encoder: mtk-jpeg-enc device registered as /dev/video1 (81,1)\n[ 3.922385] mtk-vcodec-dec 16020000.video-codec: Adding to iommu group 0\n[ 3.933038] mtk-svs 1100bc00.svs: M_HW_RES0: 0x00320090\n[ 3.938312] mtk-svs 1100bc00.svs: M_HW_RES1: 0xa3ffff5f\n[ 3.943552] mtk-svs 1100bc00.svs: M_HW_RES2: 0x47cf47cf\n[ 3.948776] mtk-svs 1100bc00.svs: M_HW_RES3: 0xa3ffff5f\n[ 3.954000] mtk-svs 1100bc00.svs: M_HW_RES4: 0xa3fff1aa\n[ 3.959220] mtk-svs 1100bc00.svs: M_HW_RES5: 0x47ec4b82\n[ 3.964443] mtk-svs 1100bc00.svs: M_HW_RES6: 0xa3ff9aac\n[ 3.969661] mtk-svs 1100bc00.svs: M_HW_RES7: 0xa3ff1c13\n[ 3.974881] mtk-svs 1100bc00.svs: M_HW_RES8: 0x4bec4beb\n[ 3.979509] r8152-cfgselector 1-1.1.1: reset high-speed USB device number 5 using xhci-mtk\n[ 3.980097] mtk-svs 1100bc00.svs: M_HW_RES9: 0xa3ff7b02\n[ 3.993574] mtk-svs 1100bc00.svs: M_HW_RES14: 0x06ce7583\n[ 3.996748] usb 1-1.3: Found UVC 1.00 device HD WebCam (04f2:b567)\n[ 3.998879] mtk-svs 1100bc00.svs: M_HW_RES15: 0x00220010\n[ 4.010350] mtk-svs 1100bc00.svs: M_HW_RES16: 0xa3ffdf46\n[ 4.015656] mtk-svs 1100bc00.svs: M_HW_RES17: 0x47ec47eb\n[ 4.020960] mtk-svs 1100bc00.svs: M_HW_RES18: 0xa3ffd443\n[ 4.026482] SVSB_GPU: cannot get "gpu-thermal" thermal zone\n[ 4.028007] usbcore: registered new interface driver uvcvideo\n[ 4.032142] mtk-svs 1100bc00.svs: error -ENODEV: svs bank resource setup fail\n[ 4.091233] r8152 1-1.1.1:1.0: Direct firmware load for rtl_nic/rtl8153b-2.fw failed with error -2\n[ 4.100603] r8152 1-1.1.1:1.0: unable to load firmware patch rtl_nic/rtl8153b-2.fw (-2)\n[ 4.153797] r8152 1-1.1.1:1.0 eth1: v1.12.13\ndone\nSaving random seed: OK\nStarting network: OK\nStarting dropbear sshd: [ 4.272459] NET: Registered PF_INET6 protocol family\n[ 4.278558] Segment Routing with IPv6\n[ 4.282267] In-situ OAM (IOAM) with IPv6\nOK\n/bin/sh: can\'t access tty; job control turned off\n/ #\n/ # #\n/ # export SHELL=/bin/sh\n/ # . /lava-15759324/environment\n/ # /lava-15759324/bin/lava-test-runner /lava-15759324/0\n+ export \'TESTRUN_ID=0_dmesg\'\n+[ 10.731378] \ncd /lava-15759324/0/tests/0_dmesg\n+ cat uuid\n+ UUID=15759324_1.5.2.3.1\n+ set +x\n+ KERNELCI_LAVA=y /bin/sh /opt/kernelci/dmesg.sh\n[ 10.757678] \n[ 10.781538] \n[ 10.809555] \n+ set +x\n[ 10.819521] \n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04edebca8c035cac2c0c4', 'origin': 'maestro', 'comment': 'alert on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:07:42.941000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759324', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759324'}}, 'waived': False, 'path': 'boot.dmesg.alert', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-mediatek-66f04ac28f2c39d354f31aae/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-mediatek-66f04ac28f2c39d354f31aae/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'list:\n[ 2.394983] No soundcards found.\n[ 2.400911] Freeing unused kernel memory: 11136K\n[ 2.404683] mmc1: queuing unknown CIS tuple 0x1a [01 01 00 02 07] (5 bytes)\n[ 2.405598] Run /init as init process\n[ 2.416432] mmc1: queuing unknown CIS tuple 0x1b [c1 41 30 30 ff ff 32 00] (8 bytes)\n[ 2.425452] mmc1: queuing unknown CIS tuple 0x14 [] (0 bytes)\nStarting syslogd[ 2.439409] mmc1: new ultra high speed SDR104 SDIO card at address 0001\n: OK\nStarting klogd: OK\nRunning sysctl: OK\nPopulating /dev using udev: [ 2.462103] udevd[168]: starting version 3.2.9\n[ 2.469736] udevd[168]: specified user \'tss\' unknown\n[ 2.474932] udevd[168]: specified group \'tss\' unknown\n[ 2.481110] udevd[169]: starting eudev-3.2.9\n[ 2.490967] hub 1-1:1.0: USB hub found\n[ 2.495321] hub 1-1:1.0: 3 ports detected\n[ 2.505245] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.517072] usbcore: registered new device driver onboard-usb-dev\n[ 2.612203] pstore: Using crash dump compression: deflate\n[ 2.616728] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.617721] pstore: Registered ramoops as persistent store backend\n[ 2.634576] ramoops: using 0x100000@0xffedc000, ecc: 0\n[ 2.668064] hub 1-1:1.0: USB hub found\n[ 2.671193] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.672145] hub 1-1:1.0: 3 ports detected\n[ 2.689415] onboard-usb-dev 11200000.usb:hub@1: supply vdd not found, using dummy regulator\n[ 2.699054] hub 1-1:1.0: USB hub found\n[ 2.703095] hub 1-1:1.0: 3 ports detected\n[ 2.703732] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.729834] mtk-wdt 10007000.watchdog: Watchdog enabled (timeout=31 sec, nowayout=0)\n[ 2.741908] elants_i2c 0-0010: supply vcc33 not found, using dummy regulator\n[ 2.746781] thermal_sys: Failed to find \'trips\' node\n[ 2.749405] elants_i2c 0-0010: supply vccio not found, using dummy regulator\n[ 2.753983] thermal_sys: Failed to find trip points for thermal-sensor1 id=0\n[ 2.768164] generic-adc-thermal thermal-sensor1: Thermal zone sensor register failed: -22\n[ 2.776455] generic-adc-thermal thermal-sensor1: probe with driver generic-adc-thermal failed with error -22\n[ 2.788664] thermal_sys: Failed to find \'trips\' node\n[ 2.788692] mt8183-pinctrl 10005000.pinctrl: pin GPIO7 already requested by 2-002c; cannot claim for 2-0015\n[ 2.790086] input: mtk-pmic-keys as /devices/platform/soc/1000d000.pwrap/1000d000.pwrap:pmic/mt6358-keys/input/input6\n[ 2.793664] thermal_sys: Failed to find trip points for thermal-sensor2 id=0\n[ 2.793675] generic-adc-thermal thermal-sensor2: Thermal zone sensor register failed: -22\n[ 2.793680] generic-adc-thermal thermal-sensor2: probe with driver generic-adc-thermal failed with error -22\n[ 2.806785] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.814126] mt8183-pinctrl 10005000.pinctrl: error -EINVAL: pin-7 (2-0015)\n[ 2.856723] mt8183-pinctrl 10005000.pinctrl: error -EINVAL: could not request pin 7 (GPIO7) from group GPIO7 on device pinctrl_paris\n[ 2.857289] mc: Linux media interface: v0.10\n[ 2.863932] sbs-battery 12-000b: sbs-battery: battery gas gauge device registered\n[ 2.868756] elan_i2c 2-0015: Error applying setting, reverse things back\n[ 2.873706] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.911093] input: Elan Touchscreen as /devices/platform/soc/11007000.i2c/i2c-0/0-0010/input/input7\n[ 2.919866] mt8183-audio 11220000.audio-controller:mt8183-afe-pcm: No cache defaults, reading back from HW\n[ 2.931161] mt8183-audio 11220000.audio-controller:mt8183-afe-pcm: mtk_afe_combine_sub_dai(), num of dai 20\n[ 2.941272] mtk-scp 10500000.scp: assigned reserved memory node memory@50000000\n[ 2.942278] videodev: Linux video capture interface: v2.00\n[ 2.944694] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.945045] debugfs: Directory \'11220000.audio-controller:mt8183-afe-pcm\' with parent \'mt8183_mt6358_ts3a227_max98357\' already present!\n[ 2.945668] mediatek-dpi 14015000.dpi: error -ENODEV: Failed to get bridge\n[ 2.946929] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@14008000\n[ 2.946969] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@14009000\n[ 2.946995] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@1400a000\n[ 2.947020] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/rdma@1400b000\n[ 2.947069] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/rdma@1400c000\n[ 2.947103] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/color@1400e000\n[ 2.947129] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ccorr@1400f000\n[ 2.947154] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/aal@14010000\n[ 2.947179] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/gamma@14011000\n[ 2.947265] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/dsi@14014000\n[ 2.947292] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/dpi@14015000\n[ 2.957230] cfg80211: Loading compiled-in X.509 certificates for regulatory database\n[ 2.965497] remoteproc remoteproc0: scp is available\n[ 2.966431] Bluetooth: Core ver 2.22\n[ 2.966532] NET: Registered PF_BLUETOOTH protocol family\n[ 2.966535] Bluetooth: HCI device and connection manager initialized\n[ 2.966546] Bluetooth: HCI socket layer initialized\n[ 2.966551] Bluetooth: L2CAP socket layer initialized\n[ 2.966557] Bluetooth: SCO socket layer initialized\n[ 2.966810] cs_system_cfg: CoreSight Configuration manager initialised\n[ 3.000567] usb 1-1.1: new high-speed USB device number 3 using xhci-mtk\n[ 3.001010] coresight-cpu-debug d410000.cpu-debug: Coresight debug-CPU0 initialized\n[ 3.001103] coresight-cpu-debug d510000.cpu-debug: Coresight debug-CPU1 initialized\n[ 3.001162] coresight-cpu-debug d610000.cpu-debug: Coresight debug-CPU2 initialized\n[ 3.001310] coresight-cpu-debug d710000.cpu-debug: Coresight debug-CPU3 initialized\n[ 3.001367] coresight-cpu-debug d810000.cpu-debug: Coresight debug-CPU4 initialized\n[ 3.003016] Loaded X.509 cert \'sforshee: 00b28ddf47aef9cea7\'\n[ 3.003507] Loaded X.509 cert \'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600\'\n[ 3.003580] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2\n[ 3.003589] cfg80211: failed to load regulatory.db\n[ 3.007068] udevd[169]: specified user \'tss\' unknown\n[ 3.007121] udevd[169]: specified group \'tss\' unknown\n[ 3.008960] remoteproc remoteproc0: powering up scp\n[ 3.009497] coresight-cpu-debug d910000.cpu-debug: Coresight debug-CPU5 initialized\n[ 3.009612] coresight-cpu-debug da10000.cpu-debug: Coresight debug-CPU6 initialized\n[ 3.017427] coresight-cpu-debug db10000.cpu-debug: Coresight debug-CPU7 initialized\n[ 3.025835] remoteproc remoteproc0: Booting fw image mediatek/mt8183/scp.img, size 1030776\n[ 3.052181] Bluetooth: HCI UART driver ver 2.3\n[ 3.059791] mtk-scp 10500000.scp: IPI buf addr 0x0007bdb0\n[ 3.071175] Bluetooth: HCI UART protocol H4 registered\n[ 3.172494] hub 1-1.1:1.0: USB hub found\n[ 3.177001] Bluetooth: HCI UART protocol LL registered\n[ 3.184294] hub 1-1.1:1.0: 4 ports detected\n[ 3.192904] Bluetooth: HCI UART protocol Three-wire (H5) registered\n[ 3.193689] mt8183_mt6358_ts3a227 mt8183-sound: ASoC: driver name too long \'mt8183_mt6358_ts3a227_max98357\' -> \'mt8183_mt6358_t\'\n[ 3.194713] debugfs: File \'Playback\' in directory \'dapm\' already present!\n[ 3.194723] debugfs: File \'Capture\' in directory \'dapm\' already present!\n[ 3.197306] input: mt8183_mt6358_ts3a227_max98357 Headset Jack as /devices/platform/mt8183-sound/sound/card0/input8\n[ 3.202396] mtk-iommu 10205000.iommu: bound 14017000.larb (ops mtk_smi_larb_component_ops)\n[ 3.202822] Bluetooth: HCI UART protocol Broadcom registered\n[ 3.207559] mtk-iommu 10205000.iommu: bound 16010000.larb (ops mtk_smi_larb_component_ops)\n[ 3.212425] Bluetooth: HCI UART protocol QCA registered\n[ 3.213637] Bluetooth: hci0: setting up ROME/QCA6390\n[ 3.220047] mtk-iommu 10205000.iommu: bound 1502f000.larb (ops mtk_smi_larb_component_ops)\n[ 3.225159] mtk-scp 10500000.scp: creating channel cros-ec-rpmsg addr 0xd\n[ 3.226325] cros-ec-dev cros-ec-dev.15.auto: CrOS System Control Processor MCU detected\n[ 3.227708] mtk-scp 10500000.scp: SCP is ready. FW version kukui_scp_v2.0.13324-280b9fce97\n[ 3.228710] Bluetooth: HCI UART protocol Marvell registered\n[ 3.231825] ath10k_sdio mmc1:0001:1: qca6174 hw3.2 sdio target 0x05030000 chip_id 0x00000000 sub 0000:0000\n[ 3.231840] ath10k_sdio mmc1:0001:1: kconfig debug 0 debugfs 0 tracing 0 dfs 0 testmode 0\n[ 3.232188] ath10k_sdio mmc1:0001:1: firmware ver WLAN.RMH.4.4.1-00174 api 6 features wowlan,ignore-otp,mfp crc32 7319fa77\n[ 3.232632] cros-ec-rpmsg 10500000.scp.cros-ec-rpmsg.13.-1: Chrome EC device registered\n[ 3.235356] mtk-iommu 10205000.iommu: bound 1a002000.larb (ops mtk_smi_larb_component_ops)\n[ 3.243629] remoteproc remoteproc0: remote processor scp is now up\n[ 3.271303] usb 1-1.2: new high-speed USB device number 4 using xhci-mtk\n[ 3.271933] mtk-iommu 10205000.iommu: bound 17010000.larb (ops mtk_smi_larb_component_ops)\n[ 3.380666] ath10k_sdio mmc1:0001:1: board_file api 2 bmi_id 0:4 crc32 d2863f91\n[ 3.382826] mtk-iommu 10205000.iommu: bound 15021000.larb (ops mtk_smi_larb_component_ops)\n[ 3.398361] sbs-battery 12-000b: I2C adapter does not support I2C_FUNC_SMBUS_READ_BLOCK_DATA.\n[ 3.398361] Fallback method does not support PEC.\n[ 3.400534] mtk-iommu 10205000.iommu: bound 1a001000.larb (ops mtk_smi_larb_component_ops)\n[ 3.414551] power_supply sbs-12-000b: driver failed to report `technology\' property: -6\n[ 3.420551] panfrost 13040000.gpu: clock rate = 511999970\n[ 3.422119] Bluetooth: hci0: Frame reassembly failed (-84)\n[ 3.434893] power_supply sbs-12-000b: driver failed to report `technology\' property: -5\n[ 3.442496] panfrost 13040000.gpu: mali-g72 id 0x6221 major 0x0 minor 0x3 status 0x0\n[ 3.451478] r8152-cfgselector 1-1.2: reset high-speed USB device number 4 using xhci-mtk\n[ 3.456277] panfrost 13040000.gpu: features: 00000000,000004f7, issues: 00000000,00000400\n[ 3.456281] panfrost 13040000.gpu: Features: L2:0x07120206 Shader:0x00000000 Tiler:0x00000809 Mem:0x1 MMU:0x00002830 AS:0xff JS:0x7\n[ 3.513496] power_supply sbs-12-000b: driver failed to report `technology\' property: -5\n[ 3.520582] panfrost 13040000.gpu: shader_present=0x7 l2_present=0x1\n[ 3.521450] [drm] Initialized panfrost 1.2.0 for 13040000.gpu on minor 0\n[ 3.562298] r8152 1-1.2:1.0: Direct firmware load for rtl_nic/rtl8153b-2.fw failed with error -2\n[ 3.578708] r8152 1-1.2:1.0: unable to load firmware patch rtl_nic/rtl8153b-2.fw (-2)\n[ 3.631076] usb 1-1.1.1: new high-speed USB device number 5 using xhci-mtk\n[ 3.638131] r8152 1-1.2:1.0 eth0: v1.12.13\n[ 3.680579] Bluetooth: hci0: QCA Product ID :0x00000008\n[ 3.686015] Bluetooth: hci0: QCA SOC Version :0x00000044\n[ 3.691429] Bluetooth: hci0: QCA ROM Version :0x00000302\n[ 3.696914] Bluetooth: hci0: QCA Patch Version:0x00000111\n[ 3.702350] Bluetooth: hci0: QCA controller version 0x00440302\n[ 3.708253] Bluetooth: hci0: QCA Downloading qca/rampatch_00440302.bin\n[ 3.714852] bluetooth hci0: Direct firmware load for qca/rampatch_00440302.bin failed with error -2\n[ 3.723965] Bluetooth: hci0: QCA Failed to request file: qca/rampatch_00440302.bin (-2)\n[ 3.731986] Bluetooth: hci0: QCA Failed to download patch (-2)\n[ 3.755100] ath10k_sdio mmc1:0001:1: htt-ver 3.87 wmi-op 4 htt-op 3 cal otp max-sta 32 raw 0 hwcrypto 1\n[ 3.767985] panel-simple-dp-aux aux-4-0058: Detected AUO B116XAB01.4 (0x145c)\n[ 3.777464] anx7625 4-0058: Failed to create device link (0x180) with backlight_lcd0\n[ 3.787889] mediatek-disp-ovl 14008000.ovl: Adding to iommu group 0\n[ 3.794402] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=52 arg=00000C00; host->error=0x00000002\n[ 3.797185] mediatek-disp-ovl 14009000.ovl: Adding to iommu group 0\n[ 3.803832] usb 1-1.3: new high-speed USB device number 6 using xhci-mtk\n[ 3.804206] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=52 arg=80000C08; host->error=0x00000002\n[ 3.809169] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=8 arg=000001AA; host->error=0x00000002\n[ 3.812807] mediatek-disp-ovl 1400a000.ovl: Adding to iommu group 0\n[ 3.842735] mediatek-disp-rdma 1400b000.rdma: Adding to iommu group 0\n[ 3.842907] mmc1: queuing unknown CIS tuple 0x01 [d9 01 ff] (3 bytes)\n[ 3.850982] mediatek-disp-rdma 1400c000.rdma: Adding to iommu group 0\n[ 3.863897] mtk-mdp3 14001000.dma-controller0: Adding to iommu group 0\n[ 3.864821] mmc1: queuing unknown CIS tuple 0x1a [01 01 00 02 07] (5 bytes)\n[ 3.871283] mtk-mdp3 14001000.dma-controller0: can\'t get SCP node\n[ 3.880881] mmc1: queuing unknown CIS tuple 0x1b [c1 41 30 30 ff ff 32 00] (8 bytes)\n[ 3.883949] mtk-mdp3 14001000.dma-controller0: Driver registered as /dev/video0\n[ 3.892763] mtk-jpeg 17030000.jpeg-encoder: Adding to iommu group 0\n[ 3.893163] mmc1: queuing unknown CIS tuple 0x14 [] (0 bytes)\n[ 3.900679] mtk-jpeg 17030000.jpeg-encoder: mtk-jpeg-enc device registered as /dev/video1 (81,1)\n[ 3.922385] mtk-vcodec-dec 16020000.video-codec: Adding to iommu group 0\n[ 3.933038] mtk-svs 1100bc00.svs: M_HW_RES0: 0x00320090\n[ 3.938312] mtk-svs 1100bc00.svs: M_HW_RES1: 0xa3ffff5f\n[ 3.943552] mtk-svs 1100bc00.svs: M_HW_RES2: 0x47cf47cf\n[ 3.948776] mtk-svs 1100bc00.svs: M_HW_RES3: 0xa3ffff5f\n[ 3.954000] mtk-svs 1100bc00.svs: M_HW_RES4: 0xa3fff1aa\n[ 3.959220] mtk-svs 1100bc00.svs: M_HW_RES5: 0x47ec4b82\n[ 3.964443] mtk-svs 1100bc00.svs: M_HW_RES6: 0xa3ff9aac\n[ 3.969661] mtk-svs 1100bc00.svs: M_HW_RES7: 0xa3ff1c13\n[ 3.974881] mtk-svs 1100bc00.svs: M_HW_RES8: 0x4bec4beb\n[ 3.979509] r8152-cfgselector 1-1.1.1: reset high-speed USB device number 5 using xhci-mtk\n[ 3.980097] mtk-svs 1100bc00.svs: M_HW_RES9: 0xa3ff7b02\n[ 3.993574] mtk-svs 1100bc00.svs: M_HW_RES14: 0x06ce7583\n[ 3.996748] usb 1-1.3: Found UVC 1.00 device HD WebCam (04f2:b567)\n[ 3.998879] mtk-svs 1100bc00.svs: M_HW_RES15: 0x00220010\n[ 4.010350] mtk-svs 1100bc00.svs: M_HW_RES16: 0xa3ffdf46\n[ 4.015656] mtk-svs 1100bc00.svs: M_HW_RES17: 0x47ec47eb\n[ 4.020960] mtk-svs 1100bc00.svs: M_HW_RES18: 0xa3ffd443\n[ 4.026482] SVSB_GPU: cannot get "gpu-thermal" thermal zone\n[ 4.028007] usbcore: registered new interface driver uvcvideo\n[ 4.032142] mtk-svs 1100bc00.svs: error -ENODEV: svs bank resource setup fail\n[ 4.091233] r8152 1-1.1.1:1.0: Direct firmware load for rtl_nic/rtl8153b-2.fw failed with error -2\n[ 4.100603] r8152 1-1.1.1:1.0: unable to load firmware patch rtl_nic/rtl8153b-2.fw (-2)\n[ 4.153797] r8152 1-1.1.1:1.0 eth1: v1.12.13\ndone\nSaving random seed: OK\nStarting network: OK\nStarting dropbear sshd: [ 4.272459] NET: Registered PF_INET6 protocol family\n[ 4.278558] Segment Routing with IPv6\n[ 4.282267] In-situ OAM (IOAM) with IPv6\nOK\n/bin/sh: can\'t access tty; job control turned off\n/ #\n/ # #\n/ # export SHELL=/bin/sh\n/ # . /lava-15759324/environment\n/ # /lava-15759324/bin/lava-test-runner /lava-15759324/0\n+ export \'TESTRUN_ID=0_dmesg\'\n+[ 10.731378] \ncd /lava-15759324/0/tests/0_dmesg\n+ cat uuid\n+ UUID=15759324_1.5.2.3.1\n+ set +x\n+ KERNELCI_LAVA=y /bin/sh /opt/kernelci/dmesg.sh\n[ 10.757678] \n[ 10.781538] \n[ 10.809555] \n+ set +x\n[ 10.819521] \n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04edebca8c035cac2c0c5', 'origin': 'maestro', 'comment': 'crit on mt8183-kukui-jacuzzi-juniper-sku16 in lava-collabora', 'start_time': '2024-09-22T17:07:42.942000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,juniper-sku16', 'google,juniper', 'mediatek,mt8183'], 'misc': {'platform': 'mt8183-kukui-jacuzzi-juniper-sku16', 'job_id': '15759324', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759324'}}, 'waived': False, 'path': 'boot.dmesg.crit', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-mediatek-66f04ac28f2c39d354f31aae/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-mediatek-66f04ac28f2c39d354f31aae/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'list:\n[ 2.394983] No soundcards found.\n[ 2.400911] Freeing unused kernel memory: 11136K\n[ 2.404683] mmc1: queuing unknown CIS tuple 0x1a [01 01 00 02 07] (5 bytes)\n[ 2.405598] Run /init as init process\n[ 2.416432] mmc1: queuing unknown CIS tuple 0x1b [c1 41 30 30 ff ff 32 00] (8 bytes)\n[ 2.425452] mmc1: queuing unknown CIS tuple 0x14 [] (0 bytes)\nStarting syslogd[ 2.439409] mmc1: new ultra high speed SDR104 SDIO card at address 0001\n: OK\nStarting klogd: OK\nRunning sysctl: OK\nPopulating /dev using udev: [ 2.462103] udevd[168]: starting version 3.2.9\n[ 2.469736] udevd[168]: specified user \'tss\' unknown\n[ 2.474932] udevd[168]: specified group \'tss\' unknown\n[ 2.481110] udevd[169]: starting eudev-3.2.9\n[ 2.490967] hub 1-1:1.0: USB hub found\n[ 2.495321] hub 1-1:1.0: 3 ports detected\n[ 2.505245] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.517072] usbcore: registered new device driver onboard-usb-dev\n[ 2.612203] pstore: Using crash dump compression: deflate\n[ 2.616728] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.617721] pstore: Registered ramoops as persistent store backend\n[ 2.634576] ramoops: using 0x100000@0xffedc000, ecc: 0\n[ 2.668064] hub 1-1:1.0: USB hub found\n[ 2.671193] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.672145] hub 1-1:1.0: 3 ports detected\n[ 2.689415] onboard-usb-dev 11200000.usb:hub@1: supply vdd not found, using dummy regulator\n[ 2.699054] hub 1-1:1.0: USB hub found\n[ 2.703095] hub 1-1:1.0: 3 ports detected\n[ 2.703732] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.729834] mtk-wdt 10007000.watchdog: Watchdog enabled (timeout=31 sec, nowayout=0)\n[ 2.741908] elants_i2c 0-0010: supply vcc33 not found, using dummy regulator\n[ 2.746781] thermal_sys: Failed to find \'trips\' node\n[ 2.749405] elants_i2c 0-0010: supply vccio not found, using dummy regulator\n[ 2.753983] thermal_sys: Failed to find trip points for thermal-sensor1 id=0\n[ 2.768164] generic-adc-thermal thermal-sensor1: Thermal zone sensor register failed: -22\n[ 2.776455] generic-adc-thermal thermal-sensor1: probe with driver generic-adc-thermal failed with error -22\n[ 2.788664] thermal_sys: Failed to find \'trips\' node\n[ 2.788692] mt8183-pinctrl 10005000.pinctrl: pin GPIO7 already requested by 2-002c; cannot claim for 2-0015\n[ 2.790086] input: mtk-pmic-keys as /devices/platform/soc/1000d000.pwrap/1000d000.pwrap:pmic/mt6358-keys/input/input6\n[ 2.793664] thermal_sys: Failed to find trip points for thermal-sensor2 id=0\n[ 2.793675] generic-adc-thermal thermal-sensor2: Thermal zone sensor register failed: -22\n[ 2.793680] generic-adc-thermal thermal-sensor2: probe with driver generic-adc-thermal failed with error -22\n[ 2.806785] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.814126] mt8183-pinctrl 10005000.pinctrl: error -EINVAL: pin-7 (2-0015)\n[ 2.856723] mt8183-pinctrl 10005000.pinctrl: error -EINVAL: could not request pin 7 (GPIO7) from group GPIO7 on device pinctrl_paris\n[ 2.857289] mc: Linux media interface: v0.10\n[ 2.863932] sbs-battery 12-000b: sbs-battery: battery gas gauge device registered\n[ 2.868756] elan_i2c 2-0015: Error applying setting, reverse things back\n[ 2.873706] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.911093] input: Elan Touchscreen as /devices/platform/soc/11007000.i2c/i2c-0/0-0010/input/input7\n[ 2.919866] mt8183-audio 11220000.audio-controller:mt8183-afe-pcm: No cache defaults, reading back from HW\n[ 2.931161] mt8183-audio 11220000.audio-controller:mt8183-afe-pcm: mtk_afe_combine_sub_dai(), num of dai 20\n[ 2.941272] mtk-scp 10500000.scp: assigned reserved memory node memory@50000000\n[ 2.942278] videodev: Linux video capture interface: v2.00\n[ 2.944694] mt8183_mt6358_ts3a227 mt8183-sound: mt8183_mt6358_ts3a227_max98357_dev_probe Can\'t find pin state wov -19\n[ 2.945045] debugfs: Directory \'11220000.audio-controller:mt8183-afe-pcm\' with parent \'mt8183_mt6358_ts3a227_max98357\' already present!\n[ 2.945668] mediatek-dpi 14015000.dpi: error -ENODEV: Failed to get bridge\n[ 2.946929] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@14008000\n[ 2.946969] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@14009000\n[ 2.946995] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ovl@1400a000\n[ 2.947020] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/rdma@1400b000\n[ 2.947069] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/rdma@1400c000\n[ 2.947103] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/color@1400e000\n[ 2.947129] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/ccorr@1400f000\n[ 2.947154] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/aal@14010000\n[ 2.947179] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/gamma@14011000\n[ 2.947265] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/dsi@14014000\n[ 2.947292] mediatek-drm mediatek-drm.14.auto: Adding component match for /soc/dpi@14015000\n[ 2.957230] cfg80211: Loading compiled-in X.509 certificates for regulatory database\n[ 2.965497] remoteproc remoteproc0: scp is available\n[ 2.966431] Bluetooth: Core ver 2.22\n[ 2.966532] NET: Registered PF_BLUETOOTH protocol family\n[ 2.966535] Bluetooth: HCI device and connection manager initialized\n[ 2.966546] Bluetooth: HCI socket layer initialized\n[ 2.966551] Bluetooth: L2CAP socket layer initialized\n[ 2.966557] Bluetooth: SCO socket layer initialized\n[ 2.966810] cs_system_cfg: CoreSight Configuration manager initialised\n[ 3.000567] usb 1-1.1: new high-speed USB device number 3 using xhci-mtk\n[ 3.001010] coresight-cpu-debug d410000.cpu-debug: Coresight debug-CPU0 initialized\n[ 3.001103] coresight-cpu-debug d510000.cpu-debug: Coresight debug-CPU1 initialized\n[ 3.001162] coresight-cpu-debug d610000.cpu-debug: Coresight debug-CPU2 initialized\n[ 3.001310] coresight-cpu-debug d710000.cpu-debug: Coresight debug-CPU3 initialized\n[ 3.001367] coresight-cpu-debug d810000.cpu-debug: Coresight debug-CPU4 initialized\n[ 3.003016] Loaded X.509 cert \'sforshee: 00b28ddf47aef9cea7\'\n[ 3.003507] Loaded X.509 cert \'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600\'\n[ 3.003580] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2\n[ 3.003589] cfg80211: failed to load regulatory.db\n[ 3.007068] udevd[169]: specified user \'tss\' unknown\n[ 3.007121] udevd[169]: specified group \'tss\' unknown\n[ 3.008960] remoteproc remoteproc0: powering up scp\n[ 3.009497] coresight-cpu-debug d910000.cpu-debug: Coresight debug-CPU5 initialized\n[ 3.009612] coresight-cpu-debug da10000.cpu-debug: Coresight debug-CPU6 initialized\n[ 3.017427] coresight-cpu-debug db10000.cpu-debug: Coresight debug-CPU7 initialized\n[ 3.025835] remoteproc remoteproc0: Booting fw image mediatek/mt8183/scp.img, size 1030776\n[ 3.052181] Bluetooth: HCI UART driver ver 2.3\n[ 3.059791] mtk-scp 10500000.scp: IPI buf addr 0x0007bdb0\n[ 3.071175] Bluetooth: HCI UART protocol H4 registered\n[ 3.172494] hub 1-1.1:1.0: USB hub found\n[ 3.177001] Bluetooth: HCI UART protocol LL registered\n[ 3.184294] hub 1-1.1:1.0: 4 ports detected\n[ 3.192904] Bluetooth: HCI UART protocol Three-wire (H5) registered\n[ 3.193689] mt8183_mt6358_ts3a227 mt8183-sound: ASoC: driver name too long \'mt8183_mt6358_ts3a227_max98357\' -> \'mt8183_mt6358_t\'\n[ 3.194713] debugfs: File \'Playback\' in directory \'dapm\' already present!\n[ 3.194723] debugfs: File \'Capture\' in directory \'dapm\' already present!\n[ 3.197306] input: mt8183_mt6358_ts3a227_max98357 Headset Jack as /devices/platform/mt8183-sound/sound/card0/input8\n[ 3.202396] mtk-iommu 10205000.iommu: bound 14017000.larb (ops mtk_smi_larb_component_ops)\n[ 3.202822] Bluetooth: HCI UART protocol Broadcom registered\n[ 3.207559] mtk-iommu 10205000.iommu: bound 16010000.larb (ops mtk_smi_larb_component_ops)\n[ 3.212425] Bluetooth: HCI UART protocol QCA registered\n[ 3.213637] Bluetooth: hci0: setting up ROME/QCA6390\n[ 3.220047] mtk-iommu 10205000.iommu: bound 1502f000.larb (ops mtk_smi_larb_component_ops)\n[ 3.225159] mtk-scp 10500000.scp: creating channel cros-ec-rpmsg addr 0xd\n[ 3.226325] cros-ec-dev cros-ec-dev.15.auto: CrOS System Control Processor MCU detected\n[ 3.227708] mtk-scp 10500000.scp: SCP is ready. FW version kukui_scp_v2.0.13324-280b9fce97\n[ 3.228710] Bluetooth: HCI UART protocol Marvell registered\n[ 3.231825] ath10k_sdio mmc1:0001:1: qca6174 hw3.2 sdio target 0x05030000 chip_id 0x00000000 sub 0000:0000\n[ 3.231840] ath10k_sdio mmc1:0001:1: kconfig debug 0 debugfs 0 tracing 0 dfs 0 testmode 0\n[ 3.232188] ath10k_sdio mmc1:0001:1: firmware ver WLAN.RMH.4.4.1-00174 api 6 features wowlan,ignore-otp,mfp crc32 7319fa77\n[ 3.232632] cros-ec-rpmsg 10500000.scp.cros-ec-rpmsg.13.-1: Chrome EC device registered\n[ 3.235356] mtk-iommu 10205000.iommu: bound 1a002000.larb (ops mtk_smi_larb_component_ops)\n[ 3.243629] remoteproc remoteproc0: remote processor scp is now up\n[ 3.271303] usb 1-1.2: new high-speed USB device number 4 using xhci-mtk\n[ 3.271933] mtk-iommu 10205000.iommu: bound 17010000.larb (ops mtk_smi_larb_component_ops)\n[ 3.380666] ath10k_sdio mmc1:0001:1: board_file api 2 bmi_id 0:4 crc32 d2863f91\n[ 3.382826] mtk-iommu 10205000.iommu: bound 15021000.larb (ops mtk_smi_larb_component_ops)\n[ 3.398361] sbs-battery 12-000b: I2C adapter does not support I2C_FUNC_SMBUS_READ_BLOCK_DATA.\n[ 3.398361] Fallback method does not support PEC.\n[ 3.400534] mtk-iommu 10205000.iommu: bound 1a001000.larb (ops mtk_smi_larb_component_ops)\n[ 3.414551] power_supply sbs-12-000b: driver failed to report `technology\' property: -6\n[ 3.420551] panfrost 13040000.gpu: clock rate = 511999970\n[ 3.422119] Bluetooth: hci0: Frame reassembly failed (-84)\n[ 3.434893] power_supply sbs-12-000b: driver failed to report `technology\' property: -5\n[ 3.442496] panfrost 13040000.gpu: mali-g72 id 0x6221 major 0x0 minor 0x3 status 0x0\n[ 3.451478] r8152-cfgselector 1-1.2: reset high-speed USB device number 4 using xhci-mtk\n[ 3.456277] panfrost 13040000.gpu: features: 00000000,000004f7, issues: 00000000,00000400\n[ 3.456281] panfrost 13040000.gpu: Features: L2:0x07120206 Shader:0x00000000 Tiler:0x00000809 Mem:0x1 MMU:0x00002830 AS:0xff JS:0x7\n[ 3.513496] power_supply sbs-12-000b: driver failed to report `technology\' property: -5\n[ 3.520582] panfrost 13040000.gpu: shader_present=0x7 l2_present=0x1\n[ 3.521450] [drm] Initialized panfrost 1.2.0 for 13040000.gpu on minor 0\n[ 3.562298] r8152 1-1.2:1.0: Direct firmware load for rtl_nic/rtl8153b-2.fw failed with error -2\n[ 3.578708] r8152 1-1.2:1.0: unable to load firmware patch rtl_nic/rtl8153b-2.fw (-2)\n[ 3.631076] usb 1-1.1.1: new high-speed USB device number 5 using xhci-mtk\n[ 3.638131] r8152 1-1.2:1.0 eth0: v1.12.13\n[ 3.680579] Bluetooth: hci0: QCA Product ID :0x00000008\n[ 3.686015] Bluetooth: hci0: QCA SOC Version :0x00000044\n[ 3.691429] Bluetooth: hci0: QCA ROM Version :0x00000302\n[ 3.696914] Bluetooth: hci0: QCA Patch Version:0x00000111\n[ 3.702350] Bluetooth: hci0: QCA controller version 0x00440302\n[ 3.708253] Bluetooth: hci0: QCA Downloading qca/rampatch_00440302.bin\n[ 3.714852] bluetooth hci0: Direct firmware load for qca/rampatch_00440302.bin failed with error -2\n[ 3.723965] Bluetooth: hci0: QCA Failed to request file: qca/rampatch_00440302.bin (-2)\n[ 3.731986] Bluetooth: hci0: QCA Failed to download patch (-2)\n[ 3.755100] ath10k_sdio mmc1:0001:1: htt-ver 3.87 wmi-op 4 htt-op 3 cal otp max-sta 32 raw 0 hwcrypto 1\n[ 3.767985] panel-simple-dp-aux aux-4-0058: Detected AUO B116XAB01.4 (0x145c)\n[ 3.777464] anx7625 4-0058: Failed to create device link (0x180) with backlight_lcd0\n[ 3.787889] mediatek-disp-ovl 14008000.ovl: Adding to iommu group 0\n[ 3.794402] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=52 arg=00000C00; host->error=0x00000002\n[ 3.797185] mediatek-disp-ovl 14009000.ovl: Adding to iommu group 0\n[ 3.803832] usb 1-1.3: new high-speed USB device number 6 using xhci-mtk\n[ 3.804206] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=52 arg=80000C08; host->error=0x00000002\n[ 3.809169] mtk-msdc 11240000.mmc: msdc_track_cmd_data: cmd=8 arg=000001AA; host->error=0x00000002\n[ 3.812807] mediatek-disp-ovl 1400a000.ovl: Adding to iommu group 0\n[ 3.842735] mediatek-disp-rdma 1400b000.rdma: Adding to iommu group 0\n[ 3.842907] mmc1: queuing unknown CIS tuple 0x01 [d9 01 ff] (3 bytes)\n[ 3.850982] mediatek-disp-rdma 1400c000.rdma: Adding to iommu group 0\n[ 3.863897] mtk-mdp3 14001000.dma-controller0: Adding to iommu group 0\n[ 3.864821] mmc1: queuing unknown CIS tuple 0x1a [01 01 00 02 07] (5 bytes)\n[ 3.871283] mtk-mdp3 14001000.dma-controller0: can\'t get SCP node\n[ 3.880881] mmc1: queuing unknown CIS tuple 0x1b [c1 41 30 30 ff ff 32 00] (8 bytes)\n[ 3.883949] mtk-mdp3 14001000.dma-controller0: Driver registered as /dev/video0\n[ 3.892763] mtk-jpeg 17030000.jpeg-encoder: Adding to iommu group 0\n[ 3.893163] mmc1: queuing unknown CIS tuple 0x14 [] (0 bytes)\n[ 3.900679] mtk-jpeg 17030000.jpeg-encoder: mtk-jpeg-enc device registered as /dev/video1 (81,1)\n[ 3.922385] mtk-vcodec-dec 16020000.video-codec: Adding to iommu group 0\n[ 3.933038] mtk-svs 1100bc00.svs: M_HW_RES0: 0x00320090\n[ 3.938312] mtk-svs 1100bc00.svs: M_HW_RES1: 0xa3ffff5f\n[ 3.943552] mtk-svs 1100bc00.svs: M_HW_RES2: 0x47cf47cf\n[ 3.948776] mtk-svs 1100bc00.svs: M_HW_RES3: 0xa3ffff5f\n[ 3.954000] mtk-svs 1100bc00.svs: M_HW_RES4: 0xa3fff1aa\n[ 3.959220] mtk-svs 1100bc00.svs: M_HW_RES5: 0x47ec4b82\n[ 3.964443] mtk-svs 1100bc00.svs: M_HW_RES6: 0xa3ff9aac\n[ 3.969661] mtk-svs 1100bc00.svs: M_HW_RES7: 0xa3ff1c13\n[ 3.974881] mtk-svs 1100bc00.svs: M_HW_RES8: 0x4bec4beb\n[ 3.979509] r8152-cfgselector 1-1.1.1: reset high-speed USB device number 5 using xhci-mtk\n[ 3.980097] mtk-svs 1100bc00.svs: M_HW_RES9: 0xa3ff7b02\n[ 3.993574] mtk-svs 1100bc00.svs: M_HW_RES14: 0x06ce7583\n[ 3.996748] usb 1-1.3: Found UVC 1.00 device HD WebCam (04f2:b567)\n[ 3.998879] mtk-svs 1100bc00.svs: M_HW_RES15: 0x00220010\n[ 4.010350] mtk-svs 1100bc00.svs: M_HW_RES16: 0xa3ffdf46\n[ 4.015656] mtk-svs 1100bc00.svs: M_HW_RES17: 0x47ec47eb\n[ 4.020960] mtk-svs 1100bc00.svs: M_HW_RES18: 0xa3ffd443\n[ 4.026482] SVSB_GPU: cannot get "gpu-thermal" thermal zone\n[ 4.028007] usbcore: registered new interface driver uvcvideo\n[ 4.032142] mtk-svs 1100bc00.svs: error -ENODEV: svs bank resource setup fail\n[ 4.091233] r8152 1-1.1.1:1.0: Direct firmware load for rtl_nic/rtl8153b-2.fw failed with error -2\n[ 4.100603] r8152 1-1.1.1:1.0: unable to load firmware patch rtl_nic/rtl8153b-2.fw (-2)\n[ 4.153797] r8152 1-1.1.1:1.0 eth1: v1.12.13\ndone\nSaving random seed: OK\nStarting network: OK\nStarting dropbear sshd: [ 4.272459] NET: Registered PF_INET6 protocol family\n[ 4.278558] Segment Routing with IPv6\n[ 4.282267] In-situ OAM (IOAM) with IPv6\nOK\n/bin/sh: can\'t access tty; job control turned off\n/ #\n/ # #\n/ # export SHELL=/bin/sh\n/ # . /lava-15759324/environment\n/ # /lava-15759324/bin/lava-test-runner /lava-15759324/0\n+ export \'TESTRUN_ID=0_dmesg\'\n+[ 10.731378] \ncd /lava-15759324/0/tests/0_dmesg\n+ cat uuid\n+ UUID=15759324_1.5.2.3.1\n+ set +x\n+ KERNELCI_LAVA=y /bin/sh /opt/kernelci/dmesg.sh\n[ 10.757678] \n[ 10.781538] \n[ 10.809555] \n+ set +x\n[ 10.819521] \n\n/ #\n'}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:08:00 PM UTC [ERROR] Aborting, invalid data 09/22/2024 05:08:00 PM UTC [ERROR] Validation error: 'INCOMPLETE' is not one of ['FAIL', 'ERROR', 'MISS', 'PASS', 'DONE', 'SKIP'] Failed validating 'enum' in schema['properties']['tests']['items']['properties']['status']: {'title': 'status', 'type': 'string', 'description': 'The test status string, one of the following:\n' '\n' '"FAIL" - the test completed and reported the tested ' 'code as faulty.\n' '\n' '"ERROR" - the test didn\'t complete due to a failure ' 'in its code, and the status of the tested code is ' 'unknown.\n' '\n' '"MISS" - the test didn\'t run due to a failure in the ' 'test harness, and the status of both the test and the ' 'tested code is unknown.\n' '\n' '"PASS" - the test completed and reported the tested ' 'code as correct.\n' '\n' '"DONE" - the test completed and had not reported the ' 'status of the tested code, but, for example, produced ' 'a performance measurement result.\n' '\n' '"SKIP" - the test did not run or complete, because it ' 'was not applicable, and the status of both the test ' 'and the tested code is unknown.\n' '\n' 'The status names above are listed in priority order ' '(highest to lowest), which can be used to produce a ' 'summary status for a collection of test runs.\n' '\n' 'For example, the summary status for all testing done ' 'on a build would be the highest-priority status ' 'across all its tests.', 'enum': ['FAIL', 'ERROR', 'MISS', 'PASS', 'DONE', 'SKIP']} On instance['tests'][0]['status']: 'INCOMPLETE' 09/22/2024 05:08:46 PM UTC [INFO] Received an event for node: 66f04ace8f2c39d354f31abb 09/22/2024 05:09:58 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04ace8f2c39d354f31abb', 'origin': 'maestro', 'comment': 'kselftest-dt on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T16:50:22.791000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'INCOMPLETE', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0ca', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.745000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0cb', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_wifi-wakeup on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.745000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_wifi-wakeup', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0cc', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_wifi-pwrseq on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.745000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_wifi-pwrseq', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0cd', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_timer on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.745000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_timer', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0ce', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_speaker-codec on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.745000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_speaker-codec', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0cf', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_sound on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.745000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_sound', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0d0', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_watchdog_10007000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.745000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_watchdog_10007000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0d1', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_video-encoder_17020000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.745000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_video-encoder_17020000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0d2', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_video-decoder_16000000_video-codec_16025000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_video-decoder_16000000_video-codec_16025000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0d3', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_video-decoder_16000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_video-decoder_16000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0d4', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_usb_11281000_usb_11280000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_usb_11281000_usb_11280000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0d5', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_usb_11281000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_usb_11281000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0d6', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_usb_11201000_usb_11200000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_usb_11201000_usb_11200000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0d7', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_usb_11201000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_usb_11201000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0d8', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_timer_10017000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_timer_10017000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0d9', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_thermal-sensor_1100b000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_thermal-sensor_1100b000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0da', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_t-phy_11ca0000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_t-phy_11ca0000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0db', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_t-phy_11c80000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_t-phy_11c80000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0dc', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_syscon_c53a000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_syscon_c53a000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0dd', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_syscon_14000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_syscon_14000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0de', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_syscon_1000c000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_syscon_1000c000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0df', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_syscon_10006000_power-controller on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_syscon_10006000_power-controller', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0e0', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_syscon_10006000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_syscon_10006000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0e1', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_syscon_10003000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_syscon_10003000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0e2', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_syscon_10001000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_syscon_10001000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0e3', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_syscon_10000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_syscon_10000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0e4', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_svs_1100bc00 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_svs_1100bc00', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0e5', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11012000_tpm_0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11012000_tpm_0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0e6', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11012000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.746000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11012000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0e7', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_typec_connector_1 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_typec_connector_1', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0e8', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_typec_connector_0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_typec_connector_0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0e9', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_typec on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_typec', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0ea', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_keyboard-controller on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_keyboard-controller', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0eb', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_i2c-tunnel_sbs-battery_b on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_i2c-tunnel_sbs-battery_b', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0ec', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_i2c-tunnel on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0_i2c-tunnel', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0ed', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11010000_ec_0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0ee', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11010000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11010000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0ef', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11000000_flash_0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11000000_flash_0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0f0', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_spi_11000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_spi_11000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0f1', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_1c10f000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_1c10f000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0f2', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_1c00f000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_1c00f000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0f3', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_1b002000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_1b002000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0f4', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_1a010000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_1a010000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0f5', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_1a00f000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_1a00f000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0f6', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_1a002000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_1a002000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0f7', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_1a001000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_1a001000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0f8', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_17010000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_17010000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0f9', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_1602e000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.747000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_1602e000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0fa', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_1582e000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_1582e000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0fb', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_1502e000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_1502e000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0fc', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_14023000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_14023000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0fd', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_14004000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_14004000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0fe', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_14003000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_14003000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c0ff', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_smi_14002000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_smi_14002000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c100', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_serial_11002000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_serial_11002000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c101', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_scp_10500000_cros-ec-rpmsg on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_scp_10500000_cros-ec-rpmsg', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c102', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_scp_10500000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_scp_10500000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c103', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_rdma_1401f000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_rdma_1401f000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c104', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_rdma_14007000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_rdma_14007000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c105', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_pwrap_1000d000_pmic_rtc on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_pwrap_1000d000_pmic_rtc', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c106', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_pwrap_1000d000_pmic_regulators on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_pwrap_1000d000_pmic_regulators', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c107', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_pwrap_1000d000_pmic_codec on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_pwrap_1000d000_pmic_codec', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c108', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_pwrap_1000d000_pmic on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_pwrap_1000d000_pmic', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c109', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_pwrap_1000d000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_pwrap_1000d000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c10a', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_pwm_1100e000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_pwm_1100e000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c10b', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_postmask_1400e000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_postmask_1400e000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c10c', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_pinctrl_10005000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_pinctrl_10005000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c10d', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_ovl_14006000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.748000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_ovl_14006000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c10e', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_ovl_14005000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_ovl_14005000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c10f', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_mutex_14001000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_mutex_14001000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c110', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_mmc_11240000_bluetooth_2 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_mmc_11240000_bluetooth_2', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c111', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_mmc_11240000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_mmc_11240000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c112', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_mmc_11230000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_mmc_11230000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c113', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_mailbox_10687100 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_mailbox_10687100', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c114', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_mailbox_10686100 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_mailbox_10686100', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c115', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_mailbox_1022c000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_mailbox_1022c000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c116', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_jpeg-encoder_17030000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_jpeg-encoder_17030000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c117', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_iommu_14016000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_iommu_14016000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c118', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_interrupt-controller_c000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_interrupt-controller_c000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c119', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_11016000_codec_1a on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_11016000_codec_1a', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c11a', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_11016000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_11016000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c11b', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_1100f000_dp-bridge_5c on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_1100f000_dp-bridge_5c', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c11c', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_1100f000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_1100f000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c11d', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_11009000_trackpad_2c on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_11009000_trackpad_2c', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c11e', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_11009000_trackpad_15 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_11009000_trackpad_15', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c11f', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_11009000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_11009000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c120', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_11008000_touchscreen_5d on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.749000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_11008000_touchscreen_5d', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c121', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_11008000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_11008000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c122', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_11007000_anx7625_58_aux-bus_panel on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_11007000_anx7625_58_aux-bus_panel', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c123', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_11007000_anx7625_58 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_11007000_anx7625_58', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c124', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_i2c_11007000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_i2c_11007000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c125', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_gpu_13040000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_gpu_13040000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c126', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_gamma_1400d000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_gamma_1400d000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c127', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_efuse_11cb0000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_efuse_11cb0000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c128', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_dsi_14013000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_dsi_14013000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c129', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_dsi-phy_11cc0000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_dsi-phy_11cc0000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c12a', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_dither_1400f000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_dither_1400f000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c12b', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_color_14009000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_color_14009000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c12c', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_1c000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_1c000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c12d', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_1b000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_1b000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c12e', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_1a06f000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_1a06f000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c12f', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_1a04f000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_1a04f000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c130', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_1a000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_1a000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c131', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_17000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_17000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c132', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_1602f000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_1602f000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c133', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_15820000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_15820000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c134', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_15020000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.750000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_15020000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c135', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_14020000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_14020000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c136', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_13000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_13000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c137', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_clock-controller_11017000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_clock-controller_11017000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c138', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_ccorr_1400b000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_ccorr_1400b000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c139', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_audio-controller_11210000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_audio-controller_11210000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c13a', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_adsp_10680000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_adsp_10680000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c13b', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_adc_11001000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_adc_11001000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c13c', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc_aal_1400c000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc_aal_1400c000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c13d', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_soc on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_soc', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c13e', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_reserved-memory_ramoops on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_reserved-memory_ramoops', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c13f', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_reserved-memory_memory_61000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_reserved-memory_memory_61000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c140', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_reserved-memory_memory_60000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_reserved-memory_memory_60000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c141', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_reserved-memory_memory_50000000 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_reserved-memory_memory_50000000', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c142', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-usb-p1-vbus on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-usb-p1-vbus', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c143', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-ppvar-sys on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-ppvar-sys', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c144', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-pp5000-z2 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-pp5000-z2', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c145', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-pp4200-z2 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-pp4200-z2', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c146', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-pp3300-z2 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-pp3300-z2', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c147', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-pp3300-s3 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-pp3300-s3', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c148', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-pp3300-ldo-z5 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-pp3300-ldo-z5', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c149', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-pp3300-edp-dx on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.751000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-pp3300-edp-dx', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c14a', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-pp3300-disp-x on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-pp3300-disp-x', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c14b', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-pp1800-edpbrdg-dx on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-pp1800-edpbrdg-dx', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c14c', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-pp1800-dpbrdg-dx on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-pp1800-dpbrdg-dx', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c14d', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_regulator-pp1000-edpbrdg on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_regulator-pp1000-edpbrdg', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c14e', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_psci on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_psci', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c14f', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_pmu-a76 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_pmu-a76', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c150', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_pmu-a55 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_pmu-a55', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c151', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_oscillator-32k on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_oscillator-32k', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c152', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_oscillator-26m on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_oscillator-26m', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c153', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_opp-table-gpu on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_opp-table-gpu', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c154', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_opp-table-cluster1 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_opp-table-cluster1', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c155', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_opp-table-cluster0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_opp-table-cluster0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c156', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_opp-table-cci on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_opp-table-cci', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c157', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_lpddr-channel1_rank_1 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_lpddr-channel1_rank_1', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c158', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_lpddr-channel1_rank_0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_lpddr-channel1_rank_0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c159', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_lpddr-channel1 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_lpddr-channel1', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c15a', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_lpddr-channel0_rank_1 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_lpddr-channel0_rank_1', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c15b', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_lpddr-channel0_rank_0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_lpddr-channel0_rank_0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c15c', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_lpddr-channel0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_lpddr-channel0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1dbca8c035cac2c15d', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_gpio-keys on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.752000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_gpio-keys', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c15e', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_fixed-factor-clock-13m on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_fixed-factor-clock-13m', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c15f', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_firmware_coreboot on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_firmware_coreboot', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c160', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_firmware_chromeos on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_firmware_chromeos', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c161', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_dmic-codec on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_dmic-codec', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c162', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_l3-cache on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_l3-cache', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c163', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_l2-cache1 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_l2-cache1', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c164', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_l2-cache0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_l2-cache0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c165', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_idle-states_cpu-retention-l on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_idle-states_cpu-retention-l', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c166', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_idle-states_cpu-retention-b on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_idle-states_cpu-retention-b', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c167', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_idle-states_cpu-off-l on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_idle-states_cpu-off-l', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c168', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_idle-states_cpu-off-b on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_idle-states_cpu-off-b', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c169', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_cpu_700 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_cpu_700', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c16a', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_cpu_600 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_cpu_600', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c16b', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_cpu_500 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_cpu_500', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c16c', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_cpu_400 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_cpu_400', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c16d', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_cpu_300 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_cpu_300', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c16e', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_cpu_200 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_cpu_200', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c16f', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_cpu_100 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_cpu_100', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c170', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cpus_cpu_0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cpus_cpu_0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c171', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_cci on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_cci', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c172', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_bt-sco on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.753000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_bt-sco', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c173', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_backlight-lcd0 on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.754000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_backlight-lcd0', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c174', 'origin': 'maestro', 'comment': 'dt_test_unprobed_devices_sh_ on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.754000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072'}}, 'waived': False, 'path': 'kselftest.dt.dt_test_unprobed_devices_sh_', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'SKIP'}, {'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04f1ebca8c035cac2c175', 'origin': 'maestro', 'comment': 'shardfile-dt on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T17:08:45.754000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759337', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759337'}}, 'waived': False, 'path': 'kselftest.dt.shardfile-dt', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora'}, 'status': 'PASS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-dt-66f04ace8f2c39d354f31abb/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '_cci RESULT=pass>\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n+ set +x\n\n\n/ #\n'}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:09:58 PM UTC [ERROR] Aborting, invalid data 09/22/2024 05:09:58 PM UTC [ERROR] Validation error: 'INCOMPLETE' is not one of ['FAIL', 'ERROR', 'MISS', 'PASS', 'DONE', 'SKIP'] Failed validating 'enum' in schema['properties']['tests']['items']['properties']['status']: {'title': 'status', 'type': 'string', 'description': 'The test status string, one of the following:\n' '\n' '"FAIL" - the test completed and reported the tested ' 'code as faulty.\n' '\n' '"ERROR" - the test didn\'t complete due to a failure ' 'in its code, and the status of the tested code is ' 'unknown.\n' '\n' '"MISS" - the test didn\'t run due to a failure in the ' 'test harness, and the status of both the test and the ' 'tested code is unknown.\n' '\n' '"PASS" - the test completed and reported the tested ' 'code as correct.\n' '\n' '"DONE" - the test completed and had not reported the ' 'status of the tested code, but, for example, produced ' 'a performance measurement result.\n' '\n' '"SKIP" - the test did not run or complete, because it ' 'was not applicable, and the status of both the test ' 'and the tested code is unknown.\n' '\n' 'The status names above are listed in priority order ' '(highest to lowest), which can be used to produce a ' 'summary status for a collection of test runs.\n' '\n' 'For example, the summary status for all testing done ' 'on a build would be the highest-priority status ' 'across all its tests.', 'enum': ['FAIL', 'ERROR', 'MISS', 'PASS', 'DONE', 'SKIP']} On instance['tests'][0]['status']: 'INCOMPLETE' 09/22/2024 05:11:34 PM UTC [INFO] Received an event for node: 66ef1c531b32192149fa8af4 09/22/2024 05:11:34 PM UTC [DEBUG] Not sending node to KCIDB: 66ef1c531b32192149fa8af4 09/22/2024 05:11:34 PM UTC [INFO] Received an event for node: 66f04e7dbca8c035cac2c0bf 09/22/2024 05:12:04 PM UTC [INFO] Received an event for node: 66f04ab28f2c39d354f31aa9 09/22/2024 05:12:05 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0435a8f2c39d354f2f784', 'id': 'maestro:66f04ab28f2c39d354f31aa9', 'origin': 'maestro', 'comment': 'ltp-pty on rk3399-gru-kevin in lava-collabora', 'start_time': '2024-09-22T16:49:54.151000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,kevin-rev15', 'google,kevin-rev14'], 'misc': {'platform': 'rk3399-gru-kevin', 'job_id': '15759320', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759320'}}, 'waived': False, 'path': 'ltp', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'Job', 'error_msg': 'auto-login-action timed out after 360 seconds'}, 'status': 'MISS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/ltp-pty-66f04ab28f2c39d354f31aa9/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/ltp-pty-66f04ab28f2c39d354f31aa9/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': "resources...\nReading resources...\nRoot Device read_resources bus 0 link: 0\nRoot Device read_resources bus 0 link: 0 done\nDone reading resources.\nShow resources in subtree (Root Device)...After reading.\nRoot Device child on link 0 CPU_CLUSTER: 0\nCPU_CLUSTER: 0\nCPU_CLUSTER: 0 resource base 0 size f8000000 align 0 gran 0 limit 0 flags e0004200 index 0\nSetting resources...\nRoot Device assign_resources, bus 0 link: 0\nCPU_CLUSTER: 0 missing set_resources\nRoot Device assign_resources, bus 0 link: 0\nDone setting resources.\nShow resources in subtree (Root Device)...After assigning values.\nRoot Device child on link 0 CPU_CLUSTER: 0\nCPU_CLUSTER: 0\nCPU_CLUSTER: 0 resource base 0 size f8000000 align 0 gran 0 limit 0 flags e0004200 index 0\nDone allocating resources.\nBS: BS_DEV_RESOURCES times (us): entry 1 run 69865 exit 0\nEnabling resources...\ndone.\nBS: BS_DEV_ENABLE times (us): entry 1 run 2623 exit 0\nInitializing devices...\nRoot Device init ...\nADC reading 582, ID 8\nDWC3 and TCPHY setup for USB OTG0 finished\nout: cmd=0x101: 03 f4 01 01 00 00 04 00 00 03 00 00\nin-header: 03 f5 00 00 04 00 00 00\nin-data: 01 00 01 02\nout: cmd=0x101: 03 f6 01 01 00 00 04 00 00 01 00 00\nin-header: 03 f5 00 00 04 00 00 00\nin-data: 01 00 01 02\nDWC3 and TCPHY setup for USB OTG1 finished\nout: cmd=0x101: 03 f3 01 01 00 00 04 00 01 03 00 00\nin-header: 03 ef 00 00 04 00 00 00\nin-data: 01 00 00 09\nout: cmd=0x101: 03 f5 01 01 00 00 04 00 01 01 00 00\nin-header: 03 ef 00 00 04 00 00 00\nin-data: 01 00 00 09\nRoot Device init finished in 82344 usecs\nCPU_CLUSTER: 0 init ...\nAttempting to set up EDP display.\nExtracted contents:\nheader: 00 ff ff ff ff ff ff 00\nserial number: 4d 10 71 14 00 00 00 00 24 1a\nversion: 01 04\nbasic params: a5 1a 11 78 06\nchroma info: de 50 a3 54 4c 99 26 0f 50 54\nestablished: 00 00 00\nstandard: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01\ndescriptor 1: bb 62 60 a0 90 40 2e 60 30 20 3a 00 03 ad 10 00 00 18\ndescriptor 2: 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00\ndescriptor 3: 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00\ndescriptor 4: 00 00 00 fc 00 4c 51 31 32 33 50 31 4a 58 33 31 0a 20\nextensions: 00\nchecksum: c3\nManufacturer: SHP Model 1471 Serial Number 0\nMade week 36 of 2016\nEDID version: 1.4\nDigital display\n8 bits per primary color channel\nDisplayPort interface\nMaximum image size: 26 cm x 17 cm\nGamma: 220%\nCheck DPMS levels\nSupported color formats: RGB 4:4:4\nDefault (sRGB) color space is primary color space\nFirst detailed timing is preferred timing\nEstablished timings supported:\nStandard timings supported:\nDetailed timings\nHex of detail: bb6260a090402e6030203a0003ad10000018\nDetailed mode (IN HEX): Clock 252750 KHz, 103 mm x ad mm\n0960 0990 09b0 0a00 hborder 0\n0640 0643 064d 066e vborder 0\n-hsync -vsync\nDid detailed timing\nHex of detail: 000000100000000000000000000000000000\nDummy block\nHex of detail: 000000100000000000000000000000000000\nDummy block\nHex of detail: 000000fc004c5131323350314a5833310a20\nMonitor name: LQ123P1JX31\nChecksum\nChecksum: 0xc3 (valid)\nPLL at 00000000ff7600c0: fbdiv=337, refdiv=8, postdiv1=4, postdiv2=1, vco=1011000 khz, output=252750 khz\nclock recovery at voltage 0 pre-emphasis 0\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 3.5dB\nusing signal parameters: voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 6dB\nusing signal parameters: voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 0dB\nusing signal parameters: voltage 0.4V pre_emph 0dB\nchannel eq at voltage 0 pre-emphasis 0\nPLL at 00000000ff760020: fbdiv=75, refdiv=1, postdiv1=3, postdiv2=1, vco=1800000 khz, output=600000 khz\nCPU_CLUSTER: 0 init finished in 266237 usecs\nDevices initialized\nShow all devs... After init.\nRoot Device: enabled 1\nCPU_CLUSTER: 0: enabled 1\nBS: BS_DEV_INIT times (us): entry 0 run 367293 exit 1\nFMAP: area RW_ELOG found @ 5d8000 (4096 bytes)\nELOG: NV offset 0x5d8000 size 0x1000\nELOG: area is 4096 bytes, full threshold 3842, shrink size 1024\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 f8 00 00 04 00 00 00\nin-data: 38 74 ef 66\nELOG: Event(17) added with size 13\nout: cmd=0x87: 03 69 87 00 00 00 04 00 09 00 00 00\nin-header: 03 b1 00 00 04 00 00 00\nin-data: 00 20 20 08\nFMAP: area RW_NVRAM found @ 5f0000 (65536 bytes)\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 f8 00 00 04 00 00 00\nin-data: 38 74 ef 66\nELOG: Event(A0) added with size 9\nelog_add_boot_reason: Logged dev mode boot\nFinalize devices...\nDevices finalized\nBS: BS_POST_DEVICE times (us): entry 58058 run 3487 exit 1\nBS: BS_OS_RESUME_CHECK times (us): entry 0 run 1 exit 1\nWriting coreboot table at 0xf7eda000\n0. 0000000000000000-00000000000fffff: RESERVED\n1. 0000000000100000-00000000f7ed9fff: RAM\n2. 00000000f7eda000-00000000f7ffffff: CONFIGURATION TABLES\nout: cmd=0x87: 03 73 87 00 00 00 04 00 bf 34 0c 00\nin-header: 03 b1 00 00 04 00 00 00\nin-data: 00 20 20 08\nPassing 6 GPIOs to payload:\nNAME | PORT | POLARITY | VALUE\nwrite protect | 0x00120001 | high | high\nrecovery | undefined | high | high\nbacklight | 0x00110001 | high | undefined\nEC in RW | 0x00080003 | high | undefined\nEC interrupt | 0x00010000 | low | undefined\nreset | 0x000b0000 | high | undefined\nADC reading 62, ID 0\nCBFS: 'VBOOT' located CBFS at [402000:449900)\nWrote coreboot table at: 00000000f7eda000, 0x300 bytes, checksum fcd2\ncoreboot table: 792 bytes.\nIMD ROOT 0. 00000000f7fff000 00001000\nIMD SMALL 1. 00000000f7ffe000 00001000\nCONSOLE 2. 00000000f7fde000 00020000\nTIME STAMP 3. 00000000f7fdd000 00000400\nVBOOT 4. 00000000f7fdc000 00000c0c\nRAMOOPS 5. 00000000f7edc000 00100000\nCOREBOOT 6. 00000000f7eda000 00002000\nIMD small region:\nIMD ROOT 0. 00000000f7ffec00 00000400\nVBOOT SEL 1. 00000000f7ffebe0 00000008\nBS: BS_WRITE_TABLES times (us): entry 2 run 113376 exit 1\nCBFS: 'VBOOT' located CBFS at [402000:449900)\nCBFS: Locating 'fallback/payload'\nCBFS: Found @ offset 2ca40 size 1ae72\nLoading segment from ROM address 0x0000000000100000\ncode (compression=1)\nNew segment dstaddr 0x40104800 memsize 0x1194d80 srcaddr 0x100038 filesize 0x1ae3a\nLoading segment from ROM address 0x000000000010001c\nEntry Point 0x0000000040104800\nLoading Segment: addr: 0x0000000040104800 memsz: 0x0000000001194d80 filesz: 0x000000000001ae3a\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x0000000040104800 memsz: 0x0000000001194d80 filesz: 0x000000000001ae3a\nusing LZMA\n[ 0x40104800, 40144ca8, 0x41299580) <- 00100038\nClearing Segment: addr: 0x0000000040144ca8 memsz: 0x00000000011548d8\ndest 0000000040104800, end 0000000041299580, bouncebuffer ffffffffffffffff\nLoaded segments\nBS: BS_PAYLOAD_LOAD times (us): entry 1 run 126933 exit 1\nJumping to boot code at 0000000040104800(00000000f7eda000)\nCPU0: stack: 00000000ff8ec000 - 00000000ff8f0000, lowest used address 00000000ff8eefb0, stack used: 4176 bytes\nCBFS: 'VBOOT' located CBFS at [402000:449900)\nCBFS: Locating 'fallback/bl31'\nCBFS: Found @ offset 11180 size 8bce\nLoading segment from ROM address 0x0000000000100000\ncode (compression=1)\nNew segment dstaddr 0x0 memsize 0x4f000 srcaddr 0x100070 filesize 0x804b\nLoading segment from ROM address 0x000000000010001c\ndata (compression=1)\nNew segment dstaddr 0xff8c0000 memsize 0x1000 srcaddr 0x1080bb filesize 0x152\nLoading segment from ROM address 0x0000000000100038\ncode (compression=1)\nNew segment dstaddr 0xff8c1000 memsize 0x4000 srcaddr 0x10820d filesize 0x9c1\nLoading segment from ROM address 0x0000000000100054\nEntry Point 0x0000000000001000\nLoading Segment: addr: 0x0000000000000000 memsz: 0x000000000004f000 filesz: 0x000000000000804b\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x0000000000000000 memsz: 0x000000000004f000 filesz: 0x000000000000804b\nusing LZMA\n[ 0x00000000, 00035098, 0x0004f000) <- 00100070\nClearing Segment: addr: 0x0000000000035098 memsz: 0x0000000000019f68\ndest 0000000000000000, end 000000000004f000, bouncebuffer ffffffffffffffff\nLoading Segment: addr: 0x00000000ff8c0000 memsz: 0x0000000000001000 filesz: 0x0000000000000152\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x00000000ff8c0000 memsz: 0x0000000000001000 filesz: 0x0000000000000152\nusing LZMA\n[ 0xff8c0000, ff8c1000, 0xff8c1000) <- 001080bb\ndest 00000000ff8c0000, end 00000000ff8c1000, bouncebuffer ffffffffffffffff\nLoading Segment: addr: 0x00000000ff8c1000 memsz: 0x0000000000004000 filesz: 0x00000000000009c1\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x00000000ff8c1000 memsz: 0x0000000000004000 filesz: 0x00000000000009c1\nusing LZMA\n[ 0xff8c1000, ff8c5000, 0xff8c5000) <- 0010820d\ndest 00000000ff8c1000, end 00000000ff8c5000, bouncebuffer ffffffffffffffff\nLoaded segments\nNOTICE: BL31: v1.2(debug):0f202a3\nNOTICE: BL31: Built : Fri Feb 9 21:48:12 UTC 2018\nINFO: GICv3 with legacy support detected. ARM GICV3 driver initialized in EL3\nINFO: plat_rockchip_pmu_init(1336): pd status 3e\nINFO: BL31: Initializing runtime services\nINFO: BL31: Preparing for EL3 exit to normal world\nINFO: Entry point address = 0x40104800\nINFO: SPSR = 0x8\nStarting depthcharge on kevin...\n[firmware-gru-8785.B-collabora] Feb 1 2021 12:35:55\nkevin: tftpboot 192.168.201.1 15759320/tftp-deploy-4ry4ijr1/kernel/image.itb 15759320/tftp-deploy-4ry4ijr1/kernel/cmdline\nWaiting for link\nInitializing XHCI USB controller at 0xfe900000.\nInitializing XHCI USB controller at 0xfe800000.\nAdding net device\ndone.\nMAC: 00:6f:00:03:0b:7f\nSending DHCP discover... done.\nWaiting for reply... done.\nSending DHCP request... done.\nWaiting for reply... done.\nMy ip is 192.168.201.11\nThe DHCP server ip is 192.168.201.1\nTFTP server IP predefined by user: 192.168.201.1\nBootfile predefined by user: 15759320/tftp-deploy-4ry4ijr1/kernel/image.itb\nSending tftp read request... done.\nWaiting for the transfer...\n00000000 ################################################################\n00080000 ################################################################\n00100000 ################################################################\n00180000 ################################################################\n00200000 ################################################################\n00280000 ################################################################\n00300000 ################################################################\n00380000 ################################################################\n00400000 ################################################################\n00480000 ################################################################\n00500000 ################################################################\n00580000 ################################################################\n00600000 ################################################################\n00680000 ################################################################\n00700000 ################################################################\n00780000 ################################################################\n00800000 ################################################################\n00880000 ################################################################\n00900000 ################################################################\n00980000 ################################################################\n00a00000 ################################################################\n00a80000 ################################################################\n00b00000 ################################################################\n00b80000 ################################################################\n00c00000 ################################################################\n00c80000 ################################################################\n00d00000 ################################################################\n00d80000 ################################################################\n00e00000 ################################################################\n00e80000 ################################################################\n00f00000 ################################################################\n00f80000 ################################################################\n01000000 ################################################################\n01080000 ################################################################\n01100000 ################################################################\n01180000 ################################################################\n01200000 ################################################################\n01280000 ################################################################\n01300000 ################################################################\n01380000 ################################################################\n01400000 ################################################################\n01480000 ################################################################\n01500000 ################################################################\n01580000 ################################################################\n01600000 ################################################################\n01680000 ################################################################\n01700000 ################################################################\n01780000 ################################################################\n01800000 ################################################################\n01880000 ################################################################\n01900000 ################################################################\n01980000 ################################################################\n01a00000 ################################################################\n01a80000 ################################################################\n01b00000 ################################################################\n01b80000 ################################################################\n01c00000 ################################################################\n01c80000 ################################################################\n01d00000 ################################################################\n01d80000 ################################################################\n01e00000 ################################################################\n01e80000 ################################################################\n01f00000 ################################################################\n01f80000 ################################################################\n02000000 ######################################################## done.\nThe bootfile was 34008746 bytes long.\nSending tftp read request... done.\nWaiting for the transfer...\n00000000 # done.\nCommand line loaded dynamically from TFTP file: 15759320/tftp-deploy-4ry4ijr1/kernel/cmdline\nThe command line is: earlyprintk=ttyS2,115200n8 console=tty1 console=ttyS2,115200n8 root=/dev/nfs rw nfsroot=192.168.201.1:/var/lib/lava/dispatcher/tmp/15759320/extract-nfsrootfs-y1ogz8im,tcp,hard,v3 ip=dhcp tftpserverip=192.168.201.1\nLoading FIT.\nImage ramdisk-1 has 23709178 bytes.\nImage fdt-1 has 70675 bytes.\nImage kernel-1 has 10226881 bytes.\nCompat preference: google,kevin-rev8\nConfig conf-1 (default), kernel kernel-1, fdt fdt-1, ramdisk ramdisk-1, compat google,kevin-rev15 google,kevin-rev14 google,kevin-rev13 google,kevin-rev12 google,kevin-rev11 google,kevin-rev10 google,kevin-rev9 google,kevin-rev8 (match) google,kevin-rev7 google,kevin-rev6 google,kevin google,gru rockchip,rk3399\nChoosing best match conf-1.\nShutting down all USB controllers.\nRemoving current net device\nExiting depthcharge with code 4 at timestamp: 24763245\nDecompressing LZMA kernel to 0x200000\njumping to kernel\n"}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:12:06 PM UTC [INFO] Received an event for node: 66ef1c5f1b32192149fa8af5 09/22/2024 05:12:06 PM UTC [DEBUG] Not sending node to KCIDB: 66ef1c5f1b32192149fa8af5 09/22/2024 05:12:06 PM UTC [INFO] Received an event for node: 66f04e7dbca8c035cac2c0c0 09/22/2024 05:12:06 PM UTC [INFO] Received an event for node: 66ef1c6b1b32192149fa8af6 09/22/2024 05:12:06 PM UTC [DEBUG] Not sending node to KCIDB: 66ef1c6b1b32192149fa8af6 09/22/2024 05:12:10 PM UTC [INFO] Received an event for node: 66f04feabca8c035cac2c17c 09/22/2024 05:12:10 PM UTC [DEBUG] Not sending node to KCIDB: 66f04feabca8c035cac2c17c 09/22/2024 05:12:11 PM UTC [INFO] Received an event for node: 66f04edebca8c035cac2c0c6 09/22/2024 05:12:11 PM UTC [INFO] Received an event for node: 66f04ab48f2c39d354f31aac 09/22/2024 05:12:12 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0435a8f2c39d354f2f784', 'id': 'maestro:66f04ab48f2c39d354f31aac', 'origin': 'maestro', 'comment': 'ltp-timers on rk3399-gru-kevin in lava-collabora', 'start_time': '2024-09-22T16:49:56.939000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,kevin-rev15', 'google,kevin-rev14'], 'misc': {'platform': 'rk3399-gru-kevin', 'job_id': '15759323', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759323'}}, 'waived': False, 'path': 'ltp', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'Job', 'error_msg': 'auto-login-action timed out after 360 seconds'}, 'status': 'MISS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/ltp-timers-66f04ab48f2c39d354f31aac/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/ltp-timers-66f04ab48f2c39d354f31aac/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': "us 0 link: 0\nCPU_CLUSTER: 0 missing set_resources\nRoot Device assign_resources, bus 0 link: 0\nDone setting resources.\nShow resources in subtree (Root Device)...After assigning values.\nRoot Device child on link 0 CPU_CLUSTER: 0\nCPU_CLUSTER: 0\nCPU_CLUSTER: 0 resource base 0 size f8000000 align 0 gran 0 limit 0 flags e0004200 index 0\nDone allocating resources.\nBS: BS_DEV_RESOURCES times (us): entry 1 run 69859 exit 1\nEnabling resources...\ndone.\nBS: BS_DEV_ENABLE times (us): entry 0 run 2622 exit 0\nInitializing devices...\nRoot Device init ...\nADC reading 582, ID 8\nDWC3 and TCPHY setup for USB OTG0 finished\nout: cmd=0x101: 03 f4 01 01 00 00 04 00 00 03 00 00\nin-header: 03 f6 00 00 04 00 00 00\nin-data: 01 00 00 02\nout: cmd=0x101: 03 f6 01 01 00 00 04 00 00 01 00 00\nin-header: 03 f6 00 00 04 00 00 00\nin-data: 01 00 00 02\nDWC3 and TCPHY setup for USB OTG1 finished\nout: cmd=0x101: 03 f3 01 01 00 00 04 00 01 03 00 00\nin-header: 03 ef 00 00 04 00 00 00\nin-data: 01 00 00 09\nout: cmd=0x101: 03 f5 01 01 00 00 04 00 01 01 00 00\nin-header: 03 ef 00 00 04 00 00 00\nin-data: 01 00 00 09\nRoot Device init finished in 82399 usecs\nCPU_CLUSTER: 0 init ...\nAttempting to set up EDP display.\nExtracted contents:\nheader: 00 ff ff ff ff ff ff 00\nserial number: 4d 10 71 14 00 00 00 00 24 1a\nversion: 01 04\nbasic params: a5 1a 11 78 06\nchroma info: de 50 a3 54 4c 99 26 0f 50 54\nestablished: 00 00 00\nstandard: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01\ndescriptor 1: bb 62 60 a0 90 40 2e 60 30 20 3a 00 03 ad 10 00 00 18\ndescriptor 2: 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00\ndescriptor 3: 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00\ndescriptor 4: 00 00 00 fc 00 4c 51 31 32 33 50 31 4a 58 33 31 0a 20\nextensions: 00\nchecksum: c3\nManufacturer: SHP Model 1471 Serial Number 0\nMade week 36 of 2016\nEDID version: 1.4\nDigital display\n8 bits per primary color channel\nDisplayPort interface\nMaximum image size: 26 cm x 17 cm\nGamma: 220%\nCheck DPMS levels\nSupported color formats: RGB 4:4:4\nDefault (sRGB) color space is primary color space\nFirst detailed timing is preferred timing\nEstablished timings supported:\nStandard timings supported:\nDetailed timings\nHex of detail: bb6260a090402e6030203a0003ad10000018\nDetailed mode (IN HEX): Clock 252750 KHz, 103 mm x ad mm\n0960 0990 09b0 0a00 hborder 0\n0640 0643 064d 066e vborder 0\n-hsync -vsync\nDid detailed timing\nHex of detail: 000000100000000000000000000000000000\nDummy block\nHex of detail: 000000100000000000000000000000000000\nDummy block\nHex of detail: 000000fc004c5131323350314a5833310a20\nMonitor name: LQ123P1JX31\nChecksum\nChecksum: 0xc3 (valid)\nPLL at 00000000ff7600c0: fbdiv=337, refdiv=8, postdiv1=4, postdiv2=1, vco=1011000 khz, output=252750 khz\nclock recovery at voltage 0 pre-emphasis 0\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 3.5dB\nusing signal parameters: voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 6dB\nusing signal parameters: voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 0dB\nusing signal parameters: voltage 0.4V pre_emph 0dB\nchannel eq at voltage 0 pre-emphasis 0\nPLL at 00000000ff760020: fbdiv=75, refdiv=1, postdiv1=3, postdiv2=1, vco=1800000 khz, output=600000 khz\nCPU_CLUSTER: 0 init finished in 266213 usecs\nDevices initialized\nShow all devs... After init.\nRoot Device: enabled 1\nCPU_CLUSTER: 0: enabled 1\nBS: BS_DEV_INIT times (us): entry 0 run 367322 exit 1\nFMAP: area RW_ELOG found @ 5d8000 (4096 bytes)\nELOG: NV offset 0x5d8000 size 0x1000\nELOG: area is 4096 bytes, full threshold 3842, shrink size 1024\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 fa 00 00 04 00 00 00\nin-data: 5b 4e f0 66\nELOG: Event(17) added with size 13\nout: cmd=0x87: 03 69 87 00 00 00 04 00 09 00 00 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nout: cmd=0x87: 03 f2 87 00 00 00 04 00 80 00 00 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 fa 00 00 04 00 00 00\nin-data: 5b 4e f0 66\nELOG: Event(A1) added with size 10\nelog_add_boot_reason: Logged recovery mode boot (Dev-switch on), reason: 0x02\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 fa 00 00 04 00 00 00\nin-data: 5b 4e f0 66\nELOG: Event(A0) added with size 9\nelog_add_boot_reason: Logged dev mode boot\nFinalize devices...\nDevices finalized\nBS: BS_POST_DEVICE times (us): entry 83032 run 3486 exit 1\nBS: BS_OS_RESUME_CHECK times (us): entry 0 run 2 exit 0\nWriting coreboot table at 0xf7eda000\n0. 0000000000000000-00000000000fffff: RESERVED\n1. 0000000000100000-00000000f7ed9fff: RAM\n2. 00000000f7eda000-00000000f7ffffff: CONFIGURATION TABLES\nout: cmd=0x87: 03 72 87 00 00 00 04 00 c0 34 0c 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nPassing 6 GPIOs to payload:\nNAME | PORT | POLARITY | VALUE\nwrite protect | 0x00120001 | high | high\nrecovery | undefined | high | low\nbacklight | 0x00110001 | high | undefined\nEC in RW | 0x00080003 | high | undefined\nEC interrupt | 0x00010000 | low | undefined\nreset | 0x000b0000 | high | undefined\nADC reading 62, ID 0\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nWrote coreboot table at: 00000000f7eda000, 0x300 bytes, checksum 99e2\ncoreboot table: 792 bytes.\nIMD ROOT 0. 00000000f7fff000 00001000\nIMD SMALL 1. 00000000f7ffe000 00001000\nCONSOLE 2. 00000000f7fde000 00020000\nTIME STAMP 3. 00000000f7fdd000 00000400\nVBOOT 4. 00000000f7fdc000 00000c0c\nRAMOOPS 5. 00000000f7edc000 00100000\nCOREBOOT 6. 00000000f7eda000 00002000\nIMD small region:\nIMD ROOT 0. 00000000f7ffec00 00000400\nVBOOT SEL 1. 00000000f7ffebe0 00000008\nBS: BS_WRITE_TABLES times (us): entry 1 run 116958 exit 0\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nCBFS: Locating 'fallback/payload'\nCBFS: Found @ offset 2828c0 size 1ae72\nLoading segment from ROM address 0x0000000000100000\ncode (compression=1)\nNew segment dstaddr 0x40104800 memsize 0x1194d80 srcaddr 0x100038 filesize 0x1ae3a\nLoading segment from ROM address 0x000000000010001c\nEntry Point 0x0000000040104800\nLoading Segment: addr: 0x0000000040104800 memsz: 0x0000000001194d80 filesz: 0x000000000001ae3a\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x0000000040104800 memsz: 0x0000000001194d80 filesz: 0x000000000001ae3a\nusing LZMA\n[ 0x40104800, 40144ca8, 0x41299580) <- 00100038\nClearing Segment: addr: 0x0000000040144ca8 memsz: 0x00000000011548d8\ndest 0000000040104800, end 0000000041299580, bouncebuffer ffffffffffffffff\nLoaded segments\nBS: BS_PAYLOAD_LOAD times (us): entry 0 run 132600 exit 0\nJumping to boot code at 0000000040104800(00000000f7eda000)\nCPU0: stack: 00000000ff8ec000 - 00000000ff8f0000, lowest used address 00000000ff8eeff0, stack used: 4112 bytes\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nCBFS: Locating 'fallback/bl31'\nCBFS: Found @ offset 11200 size 8bce\nLoading segment from ROM address 0x0000000000100000\ncode (compression=1)\nNew segment dstaddr 0x0 memsize 0x4f000 srcaddr 0x100070 filesize 0x804b\nLoading segment from ROM address 0x000000000010001c\ndata (compression=1)\nNew segment dstaddr 0xff8c0000 memsize 0x1000 srcaddr 0x1080bb filesize 0x152\nLoading segment from ROM address 0x0000000000100038\ncode (compression=1)\nNew segment dstaddr 0xff8c1000 memsize 0x4000 srcaddr 0x10820d filesize 0x9c1\nLoading segment from ROM address 0x0000000000100054\nEntry Point 0x0000000000001000\nLoading Segment: addr: 0x0000000000000000 memsz: 0x000000000004f000 filesz: 0x000000000000804b\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x0000000000000000 memsz: 0x000000000004f000 filesz: 0x000000000000804b\nusing LZMA\n[ 0x00000000, 00035098, 0x0004f000) <- 00100070\nClearing Segment: addr: 0x0000000000035098 memsz: 0x0000000000019f68\ndest 0000000000000000, end 000000000004f000, bouncebuffer ffffffffffffffff\nLoading Segment: addr: 0x00000000ff8c0000 memsz: 0x0000000000001000 filesz: 0x0000000000000152\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x00000000ff8c0000 memsz: 0x0000000000001000 filesz: 0x0000000000000152\nusing LZMA\n[ 0xff8c0000, ff8c1000, 0xff8c1000) <- 001080bb\ndest 00000000ff8c0000, end 00000000ff8c1000, bouncebuffer ffffffffffffffff\nLoading Segment: addr: 0x00000000ff8c1000 memsz: 0x0000000000004000 filesz: 0x00000000000009c1\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x00000000ff8c1000 memsz: 0x0000000000004000 filesz: 0x00000000000009c1\nusing LZMA\n[ 0xff8c1000, ff8c5000, 0xff8c5000) <- 0010820d\ndest 00000000ff8c1000, end 00000000ff8c5000, bouncebuffer ffffffffffffffff\nLoaded segments\nNOTICE: BL31: v1.2(debug):0f202a3\nNOTICE: BL31: Built : Fri Feb 9 21:48:12 UTC 2018\nINFO: GICv3 with legacy support detected. ARM GICV3 driver initialized in EL3\nINFO: plat_rockchip_pmu_init(1336): pd status 3e\nINFO: BL31: Initializing runtime services\nINFO: BL31: Preparing for EL3 exit to normal world\nINFO: Entry point address = 0x40104800\nINFO: SPSR = 0x8\nStarting depthcharge on kevin...\n[firmware-gru-8785.B-collabora] Feb 1 2021 12:35:55\nkevin: tftpboot 192.168.201.1 15759323/tftp-deploy-843axz5m/kernel/image.itb 15759323/tftp-deploy-843axz5m/kernel/cmdline\nWaiting for link\nInitializing XHCI USB controller at 0xfe900000.\nInitializing XHCI USB controller at 0xfe800000.\nAdding net device\ndone.\nMAC: 00:0e:c6:00:23:0f\nSending DHCP discover... done.\nWaiting for reply... Receive failed.\ndone.\nSending DHCP request... done.\nWaiting for reply... done.\nMy ip is 192.168.201.11\nThe DHCP server ip is 192.168.201.1\nTFTP server IP predefined by user: 192.168.201.1\nBootfile predefined by user: 15759323/tftp-deploy-843axz5m/kernel/image.itb\nSending tftp read request... done.\nWaiting for the transfer...\n00000000 ################################################################\n00080000 ################################################################\n00100000 ################################################################\n00180000 ################################################################\n00200000 ################################################################\n00280000 ################################################################\n00300000 ################################################################\n00380000 ################################################################\n00400000 ################################################################\n00480000 ################################################################\n00500000 ################################################################\n00580000 ################################################################\n00600000 ################################################################\n00680000 ################################################################\n00700000 ################################################################\n00780000 ################################################################\n00800000 ################################################################\n00880000 ################################################################\n00900000 ################################################################\n00980000 ################################################################\n00a00000 ################################################################\n00a80000 ################################################################\n00b00000 ################################################################\n00b80000 ################################################################\n00c00000 ################################################################\n00c80000 ################################################################\n00d00000 ################################################################\n00d80000 ################################################################\n00e00000 ################################################################\n00e80000 ################################################################\n00f00000 ################################################################\n00f80000 ################################################################\n01000000 ################################################################\n01080000 ################################################################\n01100000 ################################################################\n01180000 ################################################################\n01200000 ################################################################\n01280000 ################################################################\n01300000 ################################################################\n01380000 ################################################################\n01400000 ################################################################\n01480000 ################################################################\n01500000 ################################################################\n01580000 ################################################################\n01600000 ################################################################\n01680000 ################################################################\n01700000 ################################################################\n01780000 ################################################################\n01800000 ################################################################\n01880000 ################################################################\n01900000 ################################################################\n01980000 ################################################################\n01a00000 ################################################################\n01a80000 ################################################################\n01b00000 ################################################################\n01b80000 ################################################################\n01c00000 ################################################################\n01c80000 ################################################################\n01d00000 ################################################################\n01d80000 ################################################################\n01e00000 ################################################################\n01e80000 ################################################################\n01f00000 ################################################################\n01f80000 ################################################################\n02000000 ######################################################## done.\nThe bootfile was 34007698 bytes long.\nSending tftp read request... done.\nWaiting for the transfer...\n00000000 # done.\nCommand line loaded dynamically from TFTP file: 15759323/tftp-deploy-843axz5m/kernel/cmdline\nThe command line is: earlyprintk=ttyS2,115200n8 console=tty1 console=ttyS2,115200n8 root=/dev/nfs rw nfsroot=192.168.201.1:/var/lib/lava/dispatcher/tmp/15759323/extract-nfsrootfs-dbalkcq5,tcp,hard,v3 ip=dhcp tftpserverip=192.168.201.1\nLoading FIT.\nImage ramdisk-1 has 23708129 bytes.\nImage fdt-1 has 70675 bytes.\nImage kernel-1 has 10226881 bytes.\nCompat preference: google,kevin-rev8\nConfig conf-1 (default), kernel kernel-1, fdt fdt-1, ramdisk ramdisk-1, compat google,kevin-rev15 google,kevin-rev14 google,kevin-rev13 google,kevin-rev12 google,kevin-rev11 google,kevin-rev10 google,kevin-rev9 google,kevin-rev8 (match) google,kevin-rev7 google,kevin-rev6 google,kevin google,gru rockchip,rk3399\nChoosing best match conf-1.\nShutting down all USB controllers.\nRemoving current net device\nExiting depthcharge with code 4 at timestamp: 29246846\nDecompressing LZMA kernel to 0x200000\njumping to kernel\n"}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:12:12 PM UTC [INFO] Received an event for node: 66f04fecbca8c035cac2c180 09/22/2024 05:12:12 PM UTC [DEBUG] Not sending node to KCIDB: 66f04fecbca8c035cac2c180 09/22/2024 05:12:12 PM UTC [INFO] Received an event for node: 66f04edebca8c035cac2c0c7 09/22/2024 05:12:37 PM UTC [INFO] Received an event for node: 66f04ab08f2c39d354f31aa7 09/22/2024 05:12:38 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0435a8f2c39d354f2f784', 'id': 'maestro:66f04ab08f2c39d354f31aa7', 'origin': 'maestro', 'comment': 'ltp-fcntl-locktests on rk3399-gru-kevin in lava-collabora', 'start_time': '2024-09-22T16:49:52.259000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,kevin-rev15', 'google,kevin-rev14'], 'misc': {'platform': 'rk3399-gru-kevin', 'job_id': '15759318', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759318'}}, 'waived': False, 'path': 'ltp', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'Job', 'error_msg': 'auto-login-action timed out after 360 seconds'}, 'status': 'MISS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/ltp-fcntl-locktests-66f04ab08f2c39d354f31aa7/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/ltp-fcntl-locktests-66f04ab08f2c39d354f31aa7/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': "evice assign_resources, bus 0 link: 0\nDone setting resources.\nShow resources in subtree (Root Device)...After assigning values.\nRoot Device child on link 0 CPU_CLUSTER: 0\nCPU_CLUSTER: 0\nCPU_CLUSTER: 0 resource base 0 size f8000000 align 0 gran 0 limit 0 flags e0004200 index 0\nDone allocating resources.\nBS: BS_DEV_RESOURCES times (us): entry 0 run 69854 exit 1\nEnabling resources...\ndone.\nBS: BS_DEV_ENABLE times (us): entry 0 run 2622 exit 1\nInitializing devices...\nRoot Device init ...\nADC reading 581, ID 8\nDWC3 and TCPHY setup for USB OTG0 finished\nout: cmd=0x101: 03 f4 01 01 00 00 04 00 00 03 00 00\nin-header: 03 ee 00 00 04 00 00 00\nin-data: 01 00 01 09\nout: cmd=0x101: 03 f6 01 01 00 00 04 00 00 01 00 00\nin-header: 03 ee 00 00 04 00 00 00\nin-data: 01 00 01 09\nDWC3 and TCPHY setup for USB OTG1 finished\nout: cmd=0x101: 03 f3 01 01 00 00 04 00 01 03 00 00\nin-header: 03 f5 00 00 04 00 00 00\nin-data: 01 00 01 02\nout: cmd=0x101: 03 f5 01 01 00 00 04 00 01 01 00 00\nin-header: 03 f5 00 00 04 00 00 00\nin-data: 01 00 01 02\nRoot Device init finished in 82491 usecs\nCPU_CLUSTER: 0 init ...\nAttempting to set up EDP display.\nExtracted contents:\nheader: 00 ff ff ff ff ff ff 00\nserial number: 4d 10 71 14 00 00 00 00 24 1a\nversion: 01 04\nbasic params: a5 1a 11 78 06\nchroma info: de 50 a3 54 4c 99 26 0f 50 54\nestablished: 00 00 00\nstandard: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01\ndescriptor 1: bb 62 60 a0 90 40 2e 60 30 20 3a 00 03 ad 10 00 00 18\ndescriptor 2: 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00\ndescriptor 3: 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00\ndescriptor 4: 00 00 00 fc 00 4c 51 31 32 33 50 31 4a 58 33 31 0a 20\nextensions: 00\nchecksum: c3\nManufacturer: SHP Model 1471 Serial Number 0\nMade week 36 of 2016\nEDID version: 1.4\nDigital display\n8 bits per primary color channel\nDisplayPort interface\nMaximum image size: 26 cm x 17 cm\nGamma: 220%\nCheck DPMS levels\nSupported color formats: RGB 4:4:4\nDefault (sRGB) color space is primary color space\nFirst detailed timing is preferred timing\nEstablished timings supported:\nStandard timings supported:\nDetailed timings\nHex of detail: bb6260a090402e6030203a0003ad10000018\nDetailed mode (IN HEX): Clock 252750 KHz, 103 mm x ad mm\n0960 0990 09b0 0a00 hborder 0\n0640 0643 064d 066e vborder 0\n-hsync -vsync\nDid detailed timing\nHex of detail: 000000100000000000000000000000000000\nDummy block\nHex of detail: 000000100000000000000000000000000000\nDummy block\nHex of detail: 000000fc004c5131323350314a5833310a20\nMonitor name: LQ123P1JX31\nChecksum\nChecksum: 0xc3 (valid)\nPLL at 00000000ff7600c0: fbdiv=337, refdiv=8, postdiv1=4, postdiv2=1, vco=1011000 khz, output=252750 khz\nclock recovery at voltage 0 pre-emphasis 0\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 3.5dB\nusing signal parameters: voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 6dB\nusing signal parameters: voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 0dB\nusing signal parameters: voltage 0.4V pre_emph 0dB\nchannel eq at voltage 0 pre-emphasis 0\nPLL at 00000000ff760020: fbdiv=75, refdiv=1, postdiv1=3, postdiv2=1, vco=1800000 khz, output=600000 khz\nCPU_CLUSTER: 0 init finished in 266211 usecs\nDevices initialized\nShow all devs... After init.\nRoot Device: enabled 1\nCPU_CLUSTER: 0: enabled 1\nBS: BS_DEV_INIT times (us): entry 0 run 367405 exit 1\nFMAP: area RW_ELOG found @ 5d8000 (4096 bytes)\nELOG: NV offset 0x5d8000 size 0x1000\nELOG: area is 4096 bytes, full threshold 3842, shrink size 1024\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 e5 00 00 04 00 00 00\nin-data: 70 4e f0 66\nELOG: Event(17) added with size 13\nout: cmd=0x87: 03 69 87 00 00 00 04 00 09 00 00 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nout: cmd=0x87: 03 f2 87 00 00 00 04 00 80 00 00 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 e5 00 00 04 00 00 00\nin-data: 70 4e f0 66\nELOG: Event(A1) added with size 10\nelog_add_boot_reason: Logged recovery mode boot (Dev-switch on), reason: 0x02\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 e5 00 00 04 00 00 00\nin-data: 70 4e f0 66\nELOG: Event(A0) added with size 9\nelog_add_boot_reason: Logged dev mode boot\nFinalize devices...\nDevices finalized\nBS: BS_POST_DEVICE times (us): entry 83063 run 3487 exit 1\nBS: BS_OS_RESUME_CHECK times (us): entry 0 run 2 exit 0\nWriting coreboot table at 0xf7eda000\n0. 0000000000000000-00000000000fffff: RESERVED\n1. 0000000000100000-00000000f7ed9fff: RAM\n2. 00000000f7eda000-00000000f7ffffff: CONFIGURATION TABLES\nout: cmd=0x87: 03 72 87 00 00 00 04 00 c0 34 0c 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nPassing 6 GPIOs to payload:\nNAME | PORT | POLARITY | VALUE\nwrite protect | 0x00120001 | high | high\nrecovery | undefined | high | low\nbacklight | 0x00110001 | high | undefined\nEC in RW | 0x00080003 | high | undefined\nEC interrupt | 0x00010000 | low | undefined\nreset | 0x000b0000 | high | undefined\nADC reading 62, ID 0\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nWrote coreboot table at: 00000000f7eda000, 0x300 bytes, checksum 99e2\ncoreboot table: 792 bytes.\nIMD ROOT 0. 00000000f7fff000 00001000\nIMD SMALL 1. 00000000f7ffe000 00001000\nCONSOLE 2. 00000000f7fde000 00020000\nTIME STAMP 3. 00000000f7fdd000 00000400\nVBOOT 4. 00000000f7fdc000 00000c0c\nRAMOOPS 5. 00000000f7edc000 00100000\nCOREBOOT 6. 00000000f7eda000 00002000\nIMD small region:\nIMD ROOT 0. 00000000f7ffec00 00000400\nVBOOT SEL 1. 00000000f7ffebe0 00000008\nBS: BS_WRITE_TABLES times (us): entry 1 run 116973 exit 1\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nCBFS: Locating 'fallback/payload'\nCBFS: Found @ offset 2828c0 size 1ae72\nLoading segment from ROM address 0x0000000000100000\ncode (compression=1)\nNew segment dstaddr 0x40104800 memsize 0x1194d80 srcaddr 0x100038 filesize 0x1ae3a\nLoading segment from ROM address 0x000000000010001c\nEntry Point 0x0000000040104800\nLoading Segment: addr: 0x0000000040104800 memsz: 0x0000000001194d80 filesz: 0x000000000001ae3a\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x0000000040104800 memsz: 0x0000000001194d80 filesz: 0x000000000001ae3a\nusing LZMA\n[ 0x40104800, 40144ca8, 0x41299580) <- 00100038\nClearing Segment: addr: 0x0000000040144ca8 memsz: 0x00000000011548d8\ndest 0000000040104800, end 0000000041299580, bouncebuffer ffffffffffffffff\nLoaded segments\nBS: BS_PAYLOAD_LOAD times (us): entry 1 run 132598 exit 1\nJumping to boot code at 0000000040104800(00000000f7eda000)\nCPU0: stack: 00000000ff8ec000 - 00000000ff8f0000, lowest used address 00000000ff8eeff0, stack used: 4112 bytes\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nCBFS: Locating 'fallback/bl31'\nCBFS: Found @ offset 11200 size 8bce\nLoading segment from ROM address 0x0000000000100000\ncode (compression=1)\nNew segment dstaddr 0x0 memsize 0x4f000 srcaddr 0x100070 filesize 0x804b\nLoading segment from ROM address 0x000000000010001c\ndata (compression=1)\nNew segment dstaddr 0xff8c0000 memsize 0x1000 srcaddr 0x1080bb filesize 0x152\nLoading segment from ROM address 0x0000000000100038\ncode (compression=1)\nNew segment dstaddr 0xff8c1000 memsize 0x4000 srcaddr 0x10820d filesize 0x9c1\nLoading segment from ROM address 0x0000000000100054\nEntry Point 0x0000000000001000\nLoading Segment: addr: 0x0000000000000000 memsz: 0x000000000004f000 filesz: 0x000000000000804b\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x0000000000000000 memsz: 0x000000000004f000 filesz: 0x000000000000804b\nusing LZMA\n[ 0x00000000, 00035098, 0x0004f000) <- 00100070\nClearing Segment: addr: 0x0000000000035098 memsz: 0x0000000000019f68\ndest 0000000000000000, end 000000000004f000, bouncebuffer ffffffffffffffff\nLoading Segment: addr: 0x00000000ff8c0000 memsz: 0x0000000000001000 filesz: 0x0000000000000152\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x00000000ff8c0000 memsz: 0x0000000000001000 filesz: 0x0000000000000152\nusing LZMA\n[ 0xff8c0000, ff8c1000, 0xff8c1000) <- 001080bb\ndest 00000000ff8c0000, end 00000000ff8c1000, bouncebuffer ffffffffffffffff\nLoading Segment: addr: 0x00000000ff8c1000 memsz: 0x0000000000004000 filesz: 0x00000000000009c1\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x00000000ff8c1000 memsz: 0x0000000000004000 filesz: 0x00000000000009c1\nusing LZMA\n[ 0xff8c1000, ff8c5000, 0xff8c5000) <- 0010820d\ndest 00000000ff8c1000, end 00000000ff8c5000, bouncebuffer ffffffffffffffff\nLoaded segments\nNOTICE: BL31: v1.2(debug):0f202a3\nNOTICE: BL31: Built : Fri Feb 9 21:48:12 UTC 2018\nINFO: GICv3 with legacy support detected. ARM GICV3 driver initialized in EL3\nINFO: plat_rockchip_pmu_init(1336): pd status 3e\nINFO: BL31: Initializing runtime services\nINFO: BL31: Preparing for EL3 exit to normal world\nINFO: Entry point address = 0x40104800\nINFO: SPSR = 0x8\nStarting depthcharge on kevin...\n[firmware-gru-8785.B-collabora] Feb 1 2021 12:35:55\nkevin: tftpboot 192.168.201.1 15759318/tftp-deploy-pzl_pmn6/kernel/image.itb 15759318/tftp-deploy-pzl_pmn6/kernel/cmdline\nWaiting for link\nInitializing XHCI USB controller at 0xfe900000.\nInitializing XHCI USB controller at 0xfe800000.\nR8152: Initializing\nVersion 9 (ocp_data = 6010)\nR8152: Done initializing\nAdding net device\ndone.\nMAC: 00:e0:4c:68:07:c8\nSending DHCP discover... done.\nWaiting for reply... done.\nSending DHCP request... done.\nWaiting for reply... done.\nMy ip is 192.168.201.16\nThe DHCP server ip is 192.168.201.1\nTFTP server IP predefined by user: 192.168.201.1\nBootfile predefined by user: 15759318/tftp-deploy-pzl_pmn6/kernel/image.itb\nSending tftp read request... done.\nWaiting for the transfer...\n00000000 ################################################################\n00080000 ################################################################\n00100000 ################################################################\n00180000 ################################################################\n00200000 ################################################################\n00280000 ################################################################\n00300000 ################################################################\n00380000 ################################################################\n00400000 ################################################################\n00480000 ################################################################\n00500000 ################################################################\n00580000 ################################################################\n00600000 ################################################################\n00680000 ################################################################\n00700000 ################################################################\n00780000 ################################################################\n00800000 ################################################################\n00880000 ################################################################\n00900000 ################################################################\n00980000 ################################################################\n00a00000 ################################################################\n00a80000 ################################################################\n00b00000 ################################################################\n00b80000 ################################################################\n00c00000 ################################################################\n00c80000 ################################################################\n00d00000 ################################################################\n00d80000 ################################################################\n00e00000 ################################################################\n00e80000 ################################################################\n00f00000 ################################################################\n00f80000 ################################################################\n01000000 ################################################################\n01080000 ################################################################\n01100000 ################################################################\n01180000 ################################################################\n01200000 ################################################################\n01280000 ################################################################\n01300000 ################################################################\n01380000 ################################################################\n01400000 ################################################################\n01480000 ################################################################\n01500000 ################################################################\n01580000 ################################################################\n01600000 ################################################################\n01680000 ################################################################\n01700000 ################################################################\n01780000 ################################################################\n01800000 ################################################################\n01880000 ################################################################\n01900000 ################################################################\n01980000 ################################################################\n01a00000 ################################################################\n01a80000 ################################################################\n01b00000 ################################################################\n01b80000 ################################################################\n01c00000 ################################################################\n01c80000 ################################################################\n01d00000 ################################################################\n01d80000 ################################################################\n01e00000 ################################################################\n01e80000 ################################################################\n01f00000 ################################################################\n01f80000 ################################################################\n02000000 ####################################################### done.\nThe bootfile was 34004362 bytes long.\nSending tftp read request... done.\nWaiting for the transfer...\n00000000 # done.\nCommand line loaded dynamically from TFTP file: 15759318/tftp-deploy-pzl_pmn6/kernel/cmdline\nThe command line is: earlyprintk=ttyS2,115200n8 console=tty1 console=ttyS2,115200n8 root=/dev/nfs rw nfsroot=192.168.201.1:/var/lib/lava/dispatcher/tmp/15759318/extract-nfsrootfs-tckl_4sr,tcp,hard,v3 ip=dhcp tftpserverip=192.168.201.1\nLoading FIT.\nImage ramdisk-1 has 23704795 bytes.\nImage fdt-1 has 70675 bytes.\nImage kernel-1 has 10226881 bytes.\nCompat preference: google,kevin-rev8\nConfig conf-1 (default), kernel kernel-1, fdt fdt-1, ramdisk ramdisk-1, compat google,kevin-rev15 google,kevin-rev14 google,kevin-rev13 google,kevin-rev12 google,kevin-rev11 google,kevin-rev10 google,kevin-rev9 google,kevin-rev8 (match) google,kevin-rev7 google,kevin-rev6 google,kevin google,gru rockchip,rk3399\nChoosing best match conf-1.\nShutting down all USB controllers.\nRemoving current net device\nExiting depthcharge with code 4 at timestamp: 25107866\nDecompressing LZMA kernel to 0x200000\njumping to kernel\n"}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:12:54 PM UTC [INFO] Received an event for node: 66f04ac98f2c39d354f31ab5 09/22/2024 05:12:56 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04ac98f2c39d354f31ab5', 'origin': 'maestro', 'comment': 'baseline-nfs-arm64-mediatek on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T16:50:17.163000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759331', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759331'}}, 'waived': False, 'path': 'boot.nfs', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'Job', 'error_msg': 'login-action timed out after 120 seconds'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-nfs-arm64-mediatek-66f04ac98f2c39d354f31ab5/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-nfs-arm64-mediatek-66f04ac98f2c39d354f31ab5/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'iatek_drm cfg80211 ecc crct10dif_ce leds_cros_ec kfifo_buf cros_ec_typec cros_ec_chardev sbs_battery mc mtk_mmsys mtk_mutex rfkill hid_multitouch drm_dma_helper elan_i2c phy_mtk_mipi_dsi_drv snd_sof_mt8186 mtk_adsp_common mtk_scp snd_sof_xtensa_dsp mtk_rpmsg snd_sof_of mtk_scp_ipi lvts_thermal mtk_svs mtk_wdt snd_sof snd_sof_utils mt6577_auxadc pwm_bl ramoops reed_solomon backlight coreboot_table\n[ 12.764317] CPU: 7 UID: 0 PID: 205 Comm: kworker/7:9 Tainted: G D W 6.11.0 #1\n[ 12.772653] Tainted: [D]=DIE, [W]=WARN\n[ 12.776387] Hardware name: Google Steelix board (DT)\n[ 12.781338] Workqueue: events mt7921_init_work [mt7921_common]\n[ 12.787159] pstate: 60400009 (nZCv daif +PAN -UAO -TCO -DIT -SSBS BTYPE=--)\n[ 12.794106] pc : kthread_unpark+0x1c/0xb4\n[ 12.798103] lr : mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 12.803401] sp : ffff800080c5bcc0\n[ 12.806702] x29: ffff800080c5bcc0 x28: 0000000000000000 x27: 0000000000000000\n[ 12.813823] x26: ffff246876df4528 x25: ffff24674b722148 x24: ffff24674b72a148\n[ 12.820943] x23: 000000000041f23c x22: ffff24674b722000 x21: ffff24674b7276b0\n[ 12.828065] x20: ffff24674b727800 x19: 0000000000000000 x18: ffff24687eea827c\n[ 12.835186] x17: 00000000000a9cc8 x16: ffffc9747b0c4a68 x15: 0000000000000000\n[ 12.842307] x14: ffff246748bd91c0 x13: 0000000000000011 x12: 0000000000000001\n[ 12.849428] x11: 0000000000000001 x10: 0000000000000a90 x9 : ffff800080c5b850\n[ 12.856548] x8 : 0000000000000100 x7 : ffff246876078000 x6 : 0000000000000018\n[ 12.863670] x5 : 00000000ffff8f00 x4 : 00ffffffffffffff x3 : 000000000000112a\n[ 12.870791] x2 : 00000000fffee717 x1 : 000000000040810c x0 : ffff246748be4500\n[ 12.877912] Call trace:\n[ 12.880346] kthread_unpark+0x1c/0xb4\n[ 12.883996] mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 12.888947] mt7921_init_work+0x190/0x240 [mt7921_common]\n[ 12.894333] process_one_work+0x14c/0x28c\n[ 12.898330] worker_thread+0x2d0/0x3d8\n[ 12.902065] kthread+0x114/0x118\n[ 12.905281] ret_from_fork+0x10/0x20\n[ 12.908845] Code: f9000bf3 b9402c01 36a804c1 f942cc13 (f9400261)\n[ 12.914922] ---[ end trace 0000000000000000 ]---\n[ 12.930751] systemd[1]: Created slice system-getty.slice - Slice /system/getty.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-getty.slice^[[0m - Slice /system/getty.\n[ 12.950557] systemd[1]: Created slice system-modprobe.slice - Slice /system/modprobe.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-modpr…lice^[[0m - Slice /system/modprobe.\n[ 12.975037] systemd[1]: Created slice system-serial\\x2dgetty.slice - Slice /system/serial-getty.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-seria…^[[0m - Slice /system/serial-getty.\n[ 12.998884] systemd[1]: Created slice user.slice - User and Session Slice.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39muser.slice^[[0m - User and Session Slice.\n[ 13.018145] systemd[1]: Started systemd-ask-password-console.path - Dispatch Password Requests to Console Directory Watch.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-ask-passwo…quests to Console Directory Watch.\n[ 13.042164] systemd[1]: Started systemd-ask-password-wall.path - Forward Password Requests to Wall Directory Watch.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-ask-passwo… Requests to Wall Directory Watch.\n[ 13.066002] systemd[1]: proc-sys-fs-binfmt_misc.automount - Arbitrary Executable File Formats File System Automount Point was skipped because of an unmet condition check (ConditionPathExists=/proc/sys/fs/binfmt_misc).\n[ 13.085476] systemd[1]: Expecting device dev-ttyS0.device - /dev/ttyS0...\nExpecting device ^[[0;1;39mdev-ttyS0.device^[[0m - /dev/ttyS0...\n[ 13.105877] systemd[1]: Reached target cryptsetup.target - Local Encrypted Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mcryptsetup.…get^[[0m - Local Encrypted Volumes.\n[ 13.125915] systemd[1]: Reached target integritysetup.target - Local Integrity Protected Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mintegrityse…Local Integrity Protected Volumes.\n[ 13.150243] systemd[1]: Reached target paths.target - Path Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mpaths.target^[[0m - Path Units.\n[ 13.170028] systemd[1]: Reached target remote-fs.target - Remote File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mremote-fs.target^[[0m - Remote File Systems.\n[ 13.189931] systemd[1]: Reached target slices.target - Slice Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mslices.target^[[0m - Slice Units.\n[ 13.210237] systemd[1]: Reached target swap.target - Swaps.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mswap.target^[[0m - Swaps.\n[ 13.230409] systemd[1]: Reached target veritysetup.target - Local Verity Protected Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mveritysetup… - Local Verity Protected Volumes.\n[ 13.254890] systemd[1]: Listening on systemd-initctl.socket - initctl Compatibility Named Pipe.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-initc… initctl Compatibility Named Pipe.\n[ 13.281610] systemd[1]: Listening on systemd-journald-audit.socket - Journal Audit Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journ…socket^[[0m - Journal Audit Socket.\n[ 13.307835] systemd[1]: Listening on systemd-journald-dev-log.socket - Journal Socket (/dev/log).\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journ…t^[[0m - Journal Socket (/dev/log).\n[ 13.334990] systemd[1]: Listening on systemd-journald.socket - Journal Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journald.socket^[[0m - Journal Socket.\n[ 13.360166] systemd[1]: Listening on systemd-networkd.socket - Network Service Netlink Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-netwo… - Network Service Netlink Socket.\n[ 13.385521] systemd[1]: Listening on systemd-udevd-control.socket - udev Control Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-udevd….socket^[[0m - udev Control Socket.\n[ 13.406633] systemd[1]: Listening on systemd-udevd-kernel.socket - udev Kernel Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-udevd…l.socket^[[0m - udev Kernel Socket.\n[ 13.466010] systemd[1]: Mounting dev-hugepages.mount - Huge Pages File System...\nMounting ^[[0;1;39mdev-hugepages.mount^[[0m - Huge Pages File System...\n[ 13.490486] systemd[1]: Mounting dev-mqueue.mount - POSIX Message Queue File System...\nMounting ^[[0;1;39mdev-mqueue.mount^[…POSIX Message Queue File System...\n[ 13.520659] systemd[1]: Mounting sys-kernel-debug.mount - Kernel Debug File System...\nMounting ^[[0;1;39msys-kernel-debug.…^[[0m - Kernel Debug File System...\n[ 13.542060] systemd[1]: sys-kernel-tracing.mount - Kernel Trace File System was skipped because of an unmet condition check (ConditionPathExists=/sys/kernel/tracing).\n[ 13.564211] systemd[1]: Starting kmod-static-nodes.service - Create List of Static Device Nodes...\nStarting ^[[0;1;39mkmod-static-nodes…ate List of Static Device Nodes...\n[ 13.591036] systemd[1]: Starting modprobe@configfs.service - Load Kernel Module configfs...\nStarting ^[[0;1;39mmodprobe@configfs…m - Load Kernel Module configfs...\n[ 13.620937] systemd[1]: Starting modprobe@dm_mod.service - Load Kernel Module dm_mod...\nStarting ^[[0;1;39mmodprobe@dm_mod.s…[0m - Load Kernel Module dm_mod...\n[ 13.647213] systemd[1]: Starting modprobe@drm.service - Load Kernel Module drm...\nStarting ^[[0;1;39mmodprobe@drm.service^[[0m - Load Kernel Module drm...\n[ 13.666822] device-mapper: ioctl: 4.48.0-ioctl (2023-03-01) initialised: dm-devel@lists.linux.dev\n[ 13.680345] systemd[1]: Starting modprobe@efi_pstore.service - Load Kernel Module efi_pstore...\nStarting ^[[0;1;39mmodprobe@efi_psto…- Load Kernel Module efi_pstore...\n[ 13.711114] systemd[1]: Starting modprobe@fuse.service - Load Kernel Module fuse...\nStarting ^[[0;1;39mmodprobe@fuse.ser…e^[[0m - Load Kernel Module fuse...\n[ 13.739141] systemd[1]: Starting modprobe@loop.service - Load Kernel Module loop...\nStarting ^[[0;1;39mmodprobe@loop.ser…e^[[0m - Load Kernel Module loop...\n[ 13.754625] fuse: init (API version 7.40)\n[ 13.772906] systemd[1]: Starting systemd-journald.service - Journal Service...\nStarting ^[[0;1;39msystemd-journald.service^[[0m - Journal Service...\n[ 13.850108] systemd[1]: Starting systemd-modules-load.service - Load Kernel Modules...\nStarting ^[[0;1;39msystemd-modules-l…rvice^[[0m - Load Kernel Modules...\n[ 13.873977] systemd[1]: Starting systemd-network-generator.service - Generate network units from Kernel command line...\nStarting ^[[0;1;39msystemd-network-g… units from Kernel command line...\n[ 13.902043] systemd[1]: Starting systemd-remount-fs.service - Remount Root and Kernel File Systems...\nStarting ^[[0;1;39msystemd-remount-f…nt Root and Kernel File Systems...\n[ 13.929651] systemd[1]: Starting systemd-udev-trigger.service - Coldplug All udev Devices...\nStarting ^[[0;1;39msystemd-udev-trig…[0m - Coldplug All udev Devices...\n[ 13.953126] systemd[1]: Started systemd-journald.service - Journal Service.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-journald.service^[[0m - Journal Service.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39mdev-hugepages.mount^[[0m - Huge Pages File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39mdev-mqueue.mount^[[…- POSIX Message Queue File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-kernel-debug.m…nt^[[0m - Kernel Debug File System.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mkmod-static-nodes…reate List of Static Device Nodes.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@configfs…[0m - Load Kernel Module configfs.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@dm_mod.s…e^[[0m - Load Kernel Module dm_mod.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@drm.service^[[0m - Load Kernel Module drm.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@efi_psto…m - Load Kernel Module efi_pstore.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@fuse.service^[[0m - Load Kernel Module fuse.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@loop.service^[[0m - Load Kernel Module loop.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-modules-l…service^[[0m - Load Kernel Modules.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-network-g…rk units from Kernel command line.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-remount-f…ount Root and Kernel File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mnetwork-pre…get^[[0m - Preparation for Network.\nMounting ^[[0;1;39msys-fs-fuse-conne…^[[0m - FUSE Control File System...\nMounting ^[[0;1;39msys-kernel-config…ernel Configuration File System...\nStarting ^[[0;1;39msystemd-journal-f…h Journal to Persistent Storage...\nStarting ^[[0;1;39msystemd-pstore.se…orm Persistent Storage Archival...\nStarting ^[[0;1;39msystemd-random-se…ice^[[0m - Load/Save Random Seed...\nStarting ^[[0;1;39msystemd-sysctl.se…ce^[[0m - Apply Kernel Variables...\n[ 14.350175] systemd-journald[294]: Received client request to flush runtime journal.\nStarting ^[[0;1;39msystemd-sysusers.…rvice^[[0m - Create System Users...\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-fs-fuse-connec…nt^[[0m - FUSE Control File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-kernel-config.… Kernel Configuration File System.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-pstore.se…tform Persistent Storage Archival.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-random-se…rvice^[[0m - Load/Save Random Seed.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-udev-trig…e^[[0m - Coldplug All udev Devices.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-sysctl.service^[[0m - Apply Kernel Variables.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-sysusers.service^[[0m - Create System Users.\nStarting ^[[0;1;39msystemd-tmpfiles-…ate Static Device Nodes in /dev...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-journal-f…ush Journal to Persistent Storage.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-tmpfiles-…reate Static Device Nodes in /dev.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mlocal-fs-pr…reparation for Local File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mlocal-fs.target^[[0m - Local File Systems.\nStarting ^[[0;1;39msystemd-tmpfiles-… Volatile Files and Directories...\nStarting ^[[0;1;39msystemd-udevd.ser…ger for Device Events and Files...\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-udevd.serv…nager for Device Events and Files.\nStarting ^[[0;1;39msystemd-networkd.…ice^[[0m - Network Configuration...\n[^[[0;32m OK ^[[0m] Found device ^[[0;1;39mdev-ttyS0.device^[[0m - /dev/ttyS0.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-tmpfiles-…te Volatile Files and Directories.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-syste…- Slice /system/systemd-backlight.\nStarting ^[[0;1;39msystemd-backlight…ess of backlight:backlight-lcd0...\nStarting ^[[0;1;39msystemd-timesyncd… - Network Time Synchronization...\nStarting ^[[0;1;39msystemd-update-ut…rd System Boot/Shutdown in UTMP...\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mbluetooth.target^[[0m - Bluetooth Support.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-rfkil…l Switch Status /dev/rfkill Watch.\nStarting ^[[0;1;39msystemd-rfkill.se…Load/Save RF Kill Switch Status...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-backlight…tness of backlight:backlight-lcd0.\n[ 16.610539] platform sound: deferred probe pending: mt8186_mt6366: I2S3: codec dai not found\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-update-ut…cord System Boot/Shutdown in UTMP.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-rfkill.ser…- Load/Save RF Kill Switch Status.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-networkd.service^[[0m - Network Configuration.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mnetwork.target^[[0m - Network.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-timesyncd.…0m - Network Time Synchronization.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39msysinit.target^[[0m - System Initialization.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-tmpfiles-c… Cleanup of Temporary Directories.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mtime-set.target^[[0m - System Time Set.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mapt-daily.timer^[[0m - Daily apt download activities.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mapt-daily-upgrade.… apt upgrade and clean activities.\n[ 16.866722] systemd-journald[294]: Time jumped backwards, rotating.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mdpkg-db-backup.tim… Daily dpkg database backup timer.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39me2scrub_all.timer^[…etadata Check for All Filesystems.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mfstrim.timer^[[0m - Discard unused blocks once a week.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mtimers.target^[[0m - Timer Units.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39mdbus.socket^[[…- D-Bus System Message Bus Socket.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39msockets.target^[[0m - Socket Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mbasic.target^[[0m - Basic System.\nStarting ^[[0;1;39mdbus.service^[[0m - D-Bus System Message Bus...\nStarting ^[[0;1;39me2scrub_reap.serv…e ext4 Metadata Check Snapshots...\nStarting ^[[0;1;39msystemd-logind.se…ice^[[0m - User Login Management...\nStarting ^[[0;1;39msystemd-user-sess…vice^[[0m - Permit User Sessions...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-user-sess…ervice^[[0m - Permit User Sessions.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mgetty@tty1.service^[[0m - Getty on tty1.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mserial-getty@ttyS0…rvice^[[0m - Serial Getty on ttyS0.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mgetty.target^[[0m - Login Prompts.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39me2scrub_reap.serv…ine ext4 Metadata Check Snapshots.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mdbus.service^[[0m - D-Bus System Message Bus.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-logind.service^[[0m - User Login Management.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mmulti-user.target^[[0m - Multi-User System.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mgraphical.target^[[0m - Graphical Interface.\nStarting ^[[0;1;39msystemd-update-ut… Record Runlevel Change in UTMP...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-update-ut… - Record Runlevel Change in UTMP.\n[ 33.758313] pp1840_vaux18: disabling\n[ 33.762255] pp2800_vaud28: disabling\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n'}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:12:56 PM UTC [INFO] Received an event for node: 66f04ad98f2c39d354f31ac7 09/22/2024 05:12:57 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04ad98f2c39d354f31ac7', 'origin': 'maestro', 'comment': 'kselftest-cpufreq on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T16:50:33.988000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759349', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759349'}}, 'waived': False, 'path': 'kselftest.cpufreq', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'Job', 'error_msg': 'login-action timed out after 120 seconds'}, 'status': 'MISS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-cpufreq-66f04ad98f2c39d354f31ac7/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-cpufreq-66f04ad98f2c39d354f31ac7/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'n snd_sof_xtensa_dsp mtk_svs mtk_scp mtk_rpmsg snd_sof_of snd_sof snd_sof_utils mt6577_auxadc mtk_scp_ipi mtk_wdt coreboot_table pwm_bl backlight ramoops reed_solomon\n[ 12.262312] CPU: 7 UID: 0 PID: 92 Comm: kworker/7:2 Tainted: G D W 6.11.0 #1\n[ 12.270565] Tainted: [D]=DIE, [W]=WARN\n[ 12.274302] Hardware name: Google Steelix board (DT)\n[ 12.279254] Workqueue: events mt7921_init_work [mt7921_common]\n[ 12.285086] pstate: 60400009 (nZCv daif +PAN -UAO -TCO -DIT -SSBS BTYPE=--)\n[ 12.292036] pc : kthread_unpark+0x1c/0xb4\n[ 12.296042] lr : mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 12.301345] sp : ffff800080aabcc0\n[ 12.304648] x29: ffff800080aabcc0 x28: 0000000000000000 x27: 0000000000000000\n[ 12.311774] x26: 0000000000000000 x25: ffff28360c322148 x24: ffff28360c32a148\n[ 12.318899] x23: 000000000041f23c x22: ffff28360c322000 x21: ffff28360c3276b0\n[ 12.326024] x20: ffff28360c327800 x19: 0000000000000000 x18: ffff28373eea827c\n[ 12.333149] x17: 00000000000a8df8 x16: ffffcc79bf4c4a68 x15: 0000000000000000\n[ 12.340274] x14: ffff28360114a300 x13: 0000000000000046 x12: 0000000000000001\n[ 12.347399] x11: 0000000000000001 x10: 0000000000000a90 x9 : ffff800080aab850\n[ 12.354524] x8 : 0000000000000100 x7 : ffff283736078000 x6 : 0000000000000018\n[ 12.361648] x5 : 00000000ffff8f80 x4 : 00ffffffffffffff x3 : 00000000000010f4\n[ 12.368773] x2 : 00000000fffee6d9 x1 : 000000000020804c x0 : ffff2836089bd640\n[ 12.375898] Call trace:\n[ 12.378333] kthread_unpark+0x1c/0xb4\n[ 12.381987] mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 12.386941] mt7921_init_work+0x190/0x240 [mt7921_common]\n[ 12.392331] process_one_work+0x14c/0x28c\n[ 12.396331] worker_thread+0x2d0/0x3d8\n[ 12.400068] kthread+0x114/0x118\n[ 12.403288] ret_from_fork+0x10/0x20\n[ 12.406857] Code: f9000bf3 b9402c01 36a804c1 f942cc13 (f9400261)\n[ 12.412937] ---[ end trace 0000000000000000 ]---\n[ 12.580665] systemd[1]: Queued start job for default target graphical.target.\n[ 12.644509] systemd[1]: Created slice system-getty.slice - Slice /system/getty.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-getty.slice^[[0m - Slice /system/getty.\n[ 12.668373] systemd[1]: Created slice system-modprobe.slice - Slice /system/modprobe.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-modpr…lice^[[0m - Slice /system/modprobe.\n[ 12.692406] systemd[1]: Created slice system-serial\\x2dgetty.slice - Slice /system/serial-getty.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-seria…^[[0m - Slice /system/serial-getty.\n[ 12.715753] systemd[1]: Created slice user.slice - User and Session Slice.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39muser.slice^[[0m - User and Session Slice.\n[ 12.738244] systemd[1]: Started systemd-ask-password-console.path - Dispatch Password Requests to Console Directory Watch.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-ask-passwo…quests to Console Directory Watch.\n[ 12.762177] systemd[1]: Started systemd-ask-password-wall.path - Forward Password Requests to Wall Directory Watch.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-ask-passwo… Requests to Wall Directory Watch.\n[ 12.786018] systemd[1]: proc-sys-fs-binfmt_misc.automount - Arbitrary Executable File Formats File System Automount Point was skipped because of an unmet condition check (ConditionPathExists=/proc/sys/fs/binfmt_misc).\n[ 12.805683] systemd[1]: Expecting device dev-ttyS0.device - /dev/ttyS0...\nExpecting device ^[[0;1;39mdev-ttyS0.device^[[0m - /dev/ttyS0...\n[ 12.825817] systemd[1]: Reached target cryptsetup.target - Local Encrypted Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mcryptsetup.…get^[[0m - Local Encrypted Volumes.\n[ 12.845901] systemd[1]: Reached target integritysetup.target - Local Integrity Protected Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mintegrityse…Local Integrity Protected Volumes.\n[ 12.870249] systemd[1]: Reached target paths.target - Path Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mpaths.target^[[0m - Path Units.\n[ 12.889730] systemd[1]: Reached target remote-fs.target - Remote File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mremote-fs.target^[[0m - Remote File Systems.\n[ 12.909788] systemd[1]: Reached target slices.target - Slice Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mslices.target^[[0m - Slice Units.\n[ 12.930180] systemd[1]: Reached target swap.target - Swaps.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mswap.target^[[0m - Swaps.\n[ 12.950266] systemd[1]: Reached target veritysetup.target - Local Verity Protected Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mveritysetup… - Local Verity Protected Volumes.\n[ 12.974398] systemd[1]: Listening on systemd-initctl.socket - initctl Compatibility Named Pipe.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-initc… initctl Compatibility Named Pipe.\n[ 13.001193] systemd[1]: Listening on systemd-journald-audit.socket - Journal Audit Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journ…socket^[[0m - Journal Audit Socket.\n[ 13.027654] systemd[1]: Listening on systemd-journald-dev-log.socket - Journal Socket (/dev/log).\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journ…t^[[0m - Journal Socket (/dev/log).\n[ 13.054790] systemd[1]: Listening on systemd-journald.socket - Journal Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journald.socket^[[0m - Journal Socket.\n[ 13.076045] systemd[1]: Listening on systemd-networkd.socket - Network Service Netlink Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-netwo… - Network Service Netlink Socket.\n[ 13.101389] systemd[1]: Listening on systemd-udevd-control.socket - udev Control Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-udevd….socket^[[0m - udev Control Socket.\n[ 13.122473] systemd[1]: Listening on systemd-udevd-kernel.socket - udev Kernel Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-udevd…l.socket^[[0m - udev Kernel Socket.\n[ 13.185763] systemd[1]: Mounting dev-hugepages.mount - Huge Pages File System...\nMounting ^[[0;1;39mdev-hugepages.mount^[[0m - Huge Pages File System...\n[ 13.210481] systemd[1]: Mounting dev-mqueue.mount - POSIX Message Queue File System...\nMounting ^[[0;1;39mdev-mqueue.mount^[…POSIX Message Queue File System...\n[ 13.240478] systemd[1]: Mounting sys-kernel-debug.mount - Kernel Debug File System...\nMounting ^[[0;1;39msys-kernel-debug.…^[[0m - Kernel Debug File System...\n[ 13.262282] systemd[1]: sys-kernel-tracing.mount - Kernel Trace File System was skipped because of an unmet condition check (ConditionPathExists=/sys/kernel/tracing).\n[ 13.283255] systemd[1]: Starting kmod-static-nodes.service - Create List of Static Device Nodes...\nStarting ^[[0;1;39mkmod-static-nodes…ate List of Static Device Nodes...\n[ 13.312844] systemd[1]: Starting modprobe@configfs.service - Load Kernel Module configfs...\nStarting ^[[0;1;39mmodprobe@configfs…m - Load Kernel Module configfs...\n[ 13.340837] systemd[1]: Starting modprobe@dm_mod.service - Load Kernel Module dm_mod...\nStarting ^[[0;1;39mmodprobe@dm_mod.s…[0m - Load Kernel Module dm_mod...\n[ 13.369131] systemd[1]: Starting modprobe@drm.service - Load Kernel Module drm...\nStarting ^[[0;1;39mmodprobe@drm.service^[[0m - Load Kernel Module drm...\n[ 13.389261] device-mapper: ioctl: 4.48.0-ioctl (2023-03-01) initialised: dm-devel@lists.linux.dev\n[ 13.402442] systemd[1]: Starting modprobe@efi_pstore.service - Load Kernel Module efi_pstore...\nStarting ^[[0;1;39mmodprobe@efi_psto…- Load Kernel Module efi_pstore...\n[ 13.434990] systemd[1]: Starting modprobe@fuse.service - Load Kernel Module fuse...\nStarting ^[[0;1;39mmodprobe@fuse.ser…e^[[0m - Load Kernel Module fuse...\n[ 13.463104] systemd[1]: Starting modprobe@loop.service - Load Kernel Module loop...\nStarting ^[[0;1;39mmodprobe@loop.ser…e^[[0m - Load Kernel Module loop...\n[ 13.478539] fuse: init (API version 7.40)\n[ 13.493644] systemd[1]: Starting systemd-journald.service - Journal Service...\nStarting ^[[0;1;39msystemd-journald.service^[[0m - Journal Service...\n[ 13.524345] systemd[1]: Starting systemd-modules-load.service - Load Kernel Modules...\nStarting ^[[0;1;39msystemd-modules-l…rvice^[[0m - Load Kernel Modules...\n[ 13.553856] systemd[1]: Starting systemd-network-generator.service - Generate network units from Kernel command line...\nStarting ^[[0;1;39msystemd-network-g… units from Kernel command line...\n[ 13.582846] systemd[1]: Starting systemd-remount-fs.service - Remount Root and Kernel File Systems...\nStarting ^[[0;1;39msystemd-remount-f…nt Root and Kernel File Systems...\n[ 13.611697] systemd[1]: Starting systemd-udev-trigger.service - Coldplug All udev Devices...\nStarting ^[[0;1;39msystemd-udev-trig…[0m - Coldplug All udev Devices...\n[ 13.636832] systemd[1]: Mounted dev-hugepages.mount - Huge Pages File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39mdev-hugepages.mount^[[0m - Huge Pages File System.\n[ 13.662554] systemd[1]: Mounted dev-mqueue.mount - POSIX Message Queue File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39mdev-mqueue.mount^[[…- POSIX Message Queue File System.\n[ 13.682435] systemd[1]: Mounted sys-kernel-debug.mount - Kernel Debug File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-kernel-debug.m…nt^[[0m - Kernel Debug File System.\n[ 13.702247] systemd[1]: Started systemd-journald.service - Journal Service.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-journald.service^[[0m - Journal Service.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mkmod-static-nodes…reate List of Static Device Nodes.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@configfs…[0m - Load Kernel Module configfs.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@dm_mod.s…e^[[0m - Load Kernel Module dm_mod.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@drm.service^[[0m - Load Kernel Module drm.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@efi_psto…m - Load Kernel Module efi_pstore.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@fuse.service^[[0m - Load Kernel Module fuse.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@loop.service^[[0m - Load Kernel Module loop.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-modules-l…service^[[0m - Load Kernel Modules.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-network-g…rk units from Kernel command line.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-remount-f…ount Root and Kernel File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mnetwork-pre…get^[[0m - Preparation for Network.\nMounting ^[[0;1;39msys-fs-fuse-conne…^[[0m - FUSE Control File System...\nMounting ^[[0;1;39msys-kernel-config…ernel Configuration File System...\nStarting ^[[0;1;39msystemd-journal-f…h Journal to Persistent Storage...\nStarting ^[[0;1;39msystemd-pstore.se…orm Persistent Storage Archival...\nStarting ^[[0;1;39msystemd-random-se…ice^[[0m - Load/Save Random Seed...\n[ 14.035764] systemd-journald[310]: Received client request to flush runtime journal.\nStarting ^[[0;1;39msystemd-sysctl.se…ce^[[0m - Apply Kernel Variables...\nStarting ^[[0;1;39msystemd-sysusers.…rvice^[[0m - Create System Users...\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-fs-fuse-connec…nt^[[0m - FUSE Control File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-kernel-config.… Kernel Configuration File System.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-random-se…rvice^[[0m - Load/Save Random Seed.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-pstore.se…tform Persistent Storage Archival.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-udev-trig…e^[[0m - Coldplug All udev Devices.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-sysctl.service^[[0m - Apply Kernel Variables.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-sysusers.service^[[0m - Create System Users.\nStarting ^[[0;1;39msystemd-tmpfiles-…ate Static Device Nodes in /dev...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-journal-f…ush Journal to Persistent Storage.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-tmpfiles-…reate Static Device Nodes in /dev.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mlocal-fs-pr…reparation for Local File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mlocal-fs.target^[[0m - Local File Systems.\nStarting ^[[0;1;39msystemd-tmpfiles-… Volatile Files and Directories...\nStarting ^[[0;1;39msystemd-udevd.ser…ger for Device Events and Files...\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-udevd.serv…nager for Device Events and Files.\nStarting ^[[0;1;39msystemd-networkd.…ice^[[0m - Network Configuration...\n[^[[0;32m OK ^[[0m] Found device ^[[0;1;39mdev-ttyS0.device^[[0m - /dev/ttyS0.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-syste…- Slice /system/systemd-backlight.\nStarting ^[[0;1;39msystemd-backlight…ess of backlight:backlight-lcd0...\n[ 16.095975] platform sound: deferred probe pending: mt8186_mt6366: I2S3: codec dai not found\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mbluetooth.target^[[0m - Bluetooth Support.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-rfkil…l Switch Status /dev/rfkill Watch.\nStarting ^[[0;1;39msystemd-rfkill.se…Load/Save RF Kill Switch Status...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-tmpfiles-…te Volatile Files and Directories.\nStarting ^[[0;1;39msystemd-timesyncd… - Network Time Synchronization...\nStarting ^[[0;1;39msystemd-update-ut…rd System Boot/Shutdown in UTMP...\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-rfkill.ser…- Load/Save RF Kill Switch Status.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-backlight…tness of backlight:backlight-lcd0.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-networkd.service^[[0m - Network Configuration.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mnetwork.target^[[0m - Network.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-update-ut…cord System Boot/Shutdown in UTMP.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-timesyncd.…0m - Network Time Synchronization.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39msysinit.target^[[0m - System Initialization.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-tmpfiles-c… Cleanup of Temporary Directories.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mtime-set.target^[[0m - System Time Set.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mapt-daily.timer^[[0m - Daily apt download activities.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mapt-daily-upgrade.… apt upgrade and clean activities.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mdpkg-db-backup.tim… Daily dpkg database backup timer.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39me2scrub_all.timer^[…etadata Check for All Filesystems.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mfstrim.timer^[[0m - Discard unused blocks once a week.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mtimers.target^[[0m - Timer Units.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39mdbus.socket^[[…- D-Bus System Message Bus Socket.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39msockets.target^[[0m - Socket Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mbasic.target^[[0m - Basic System.\nStarting ^[[0;1;39mdbus.service^[[0m - D-Bus System Message Bus...\nStarting ^[[0;1;39me2scrub_reap.serv…e ext4 Metadata Check Snapshots...\nStarting ^[[0;1;39msystemd-logind.se…ice^[[0m - User Login Management...\nStarting ^[[0;1;39msystemd-user-sess…vice^[[0m - Permit User Sessions...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-user-sess…ervice^[[0m - Permit User Sessions.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mgetty@tty1.service^[[0m - Getty on tty1.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mserial-getty@ttyS0…rvice^[[0m - Serial Getty on ttyS0.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mgetty.target^[[0m - Login Prompts.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mdbus.service^[[0m - D-Bus System Message Bus.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39me2scrub_reap.serv…ine ext4 Metadata Check Snapshots.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-logind.service^[[0m - User Login Management.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mmulti-user.target^[[0m - Multi-User System.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mgraphical.target^[[0m - Graphical Interface.\nStarting ^[[0;1;39msystemd-update-ut… Record Runlevel Change in UTMP...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-update-ut… - Record Runlevel Change in UTMP.\n[ 33.786596] pp1840_vaux18: disabling\n[ 33.790982] pp2800_vaud28: disabling\n'}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:13:12 PM UTC [INFO] Received an event for node: 66f05028bca8c035cac2c18a 09/22/2024 05:13:12 PM UTC [DEBUG] Not sending node to KCIDB: 66f05028bca8c035cac2c18a 09/22/2024 05:13:12 PM UTC [INFO] Received an event for node: 66f04f1ebca8c035cac2c176 09/22/2024 05:13:22 PM UTC [INFO] Received an event for node: 66f04ae98f2c39d354f31ad3 09/22/2024 05:13:23 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04ae98f2c39d354f31ad3', 'origin': 'maestro', 'comment': 'kselftest-exec on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T16:50:49.745000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759361', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759361'}}, 'waived': False, 'path': 'kselftest.exec', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'Job', 'error_msg': 'No time left for remaining 1 retries. 2 retries out of 3 failed for depthcharge-retry'}, 'status': 'MISS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-exec-66f04ae98f2c39d354f31ad3/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-exec-66f04ae98f2c39d354f31ad3/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'WnR = 0, TnD = 0, TagAccess = 0\n[ 13.180715] GCS = 0, Overlay = 0, DirtyBit = 0, Xs = 0\n[ 13.186019] user pgtable: 4k pages, 48-bit VAs, pgdp=00000001086f9000\n[ 13.192452] [0000000000000000] pgd=0000000000000000, p4d=0000000000000000\n[ 13.199236] Internal error: Oops: 0000000096000004 [#2] PREEMPT SMP\nto Console Dire[ 13.205491] Modules linked in: ip_tables x_tables ipv6 ax88796b asix onboard_usb_dev uvcvideo uvc videobuf2_vmalloc mtk_vcodec_dec_hw mtk_vcodec_dec mt7921s mtk_jpeg v4l2_vp9 mtk_vcodec_enc v4l2_h264 panel_edp cros_ec_rpmsg mt76_sdio mtk_jpeg_enc_hw mt7921_common mtk_vcodec_dbgfs btmtksdio mtk_vcodec_common btmtk mt792x_lib mtk_vpu mtk_jpeg_dec_hw cbmem mt76_connac_lib snd_sof_mt8186 mt76 v4l2_mem2mem mtk_adsp_common videobuf2_dma_contig mac80211 videobuf2_memops snd_sof_xtensa_dsp crct10dif_ce videobuf2_v4l2 bluetooth libarc4 mtk_scp snd_sof_of ecdh_generic videodev cros_ec_lid_angle cfg80211 snd_sof mtk_rpmsg ecc videobuf2_common cros_ec_sensors mc cros_ec_sensors_core industrialio_triggered_buffer kfifo_buf mediatek_drm cros_ec_chardev rfkill mtk_mmsys drm_dma_helper hid_multitouch leds_cros_ec sbs_battery mtk_mutex phy_mtk_mipi_dsi_drv mt6577_auxadc mtk_wdt snd_sof_utils mtk_scp_ipi cros_ec_typec elan_i2c lvts_thermal mtk_svs ramoops reed_solomon coreboot_table pwm_bl backlight\n[ 13.293764] CPU: 3 UID: 0 PID: 34 Comm: kworker/3:0 Tainted: G D W 6.11.0 #1\n[ 13.302017] Tainted: [D]=DIE, [W]=WARN\n[ 13.305754] Hardware name: Google Steelix board (DT)\n[ 13.310706] Workqueue: events mt7921_init_work [mt7921_common]\n[ 13.316536] pstate: 60400009 (nZCv daif +PAN -UAO -TCO -DIT -SSBS BTYPE=--)\n[ 13.323485] pc : kthread_unpark+0x1c/0xb4\n[ 13.327487] lr : mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 13.332790] sp : ffff8000801cbcc0\n[ 13.336093] x29: ffff8000801cbcc0 x28: 0000000000000000 x27: 0000000000000000\n[ 13.343221] x26: ffff7a28b6d90528 x25: ffff7a278c602148 x24: ffff7a278c60a148\n[ 13.350347] x23: 000000000041f23c x22: ffff7a278c602000 x21: ffff7a278c6076b0\n[ 13.357475] x20: ffff7a278c607800 x19: 0000000000000000 x18: ffff7a28beea823c\n[ 13.364601] x17: 0000000000049ba8 x16: ffffb9ee1dcc4a68 x15: 0000000000000001\n[ 13.371728] x14: ffff7a27802e56c0 x13: 000000000000025c x12: 0000000000000001\n[ 13.378854] x11: 0000000000000001 x10: 0000000000000a90 x9 : ffff8000801cb850\n[ 13.385980] x8 : 0000000000000100 x7 : ffff7a28b6078000 x6 : 0000000000000018\n[ 13.393107] x5 : 00000000ffffcf00 x4 : 00ffffffffffffff x3 : 0000000000001145\n[ 13.400233] x2 : 00000000fffee799 x1 : 000000000040800c x0 : ffff7a27886b4500\n[ 13.407360] Call trace:\n[ 13.409794] kthread_unpark+0x1c/0xb4\n[ 13.413448] mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 13.418403] mt7921_init_work+0x190/0x240 [mt7921_common]\n[ 13.423796] process_one_work+0x14c/0x28c\n[ 13.427795] worker_thread+0x2d0/0x3d8\n[ 13.431534] kthread+0x114/0x118\n[ 13.434753] ret_from_fork+0x10/0x20\n[ 13.438321] Code: f9000bf3 b9402c01 36a804c1 f942cc13 (f9400261)\n[ 13.444402] ---[ end trace 0000000000000000 ]---\nctory Watch.\n[ 13.454423] systemd[1]: Started systemd-ask-password-wall.path - Forward Password Requests to Wall Directory Watch.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-ask-passwo… Requests to Wall Directory Watch.\n[ 13.478152] systemd[1]: proc-sys-fs-binfmt_misc.automount - Arbitrary Executable File Formats File System Automount Point was skipped because of an unmet condition check (ConditionPathExists=/proc/sys/fs/binfmt_misc).\n[ 13.497738] systemd[1]: Expecting device dev-ttyS0.device - /dev/ttyS0...\nExpecting device ^[[0;1;39mdev-ttyS0.device^[[0m - /dev/ttyS0...\n[ 13.521814] systemd[1]: Reached target cryptsetup.target - Local Encrypted Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mcryptsetup.…get^[[0m - Local Encrypted Volumes.\n[ 13.541872] systemd[1]: Reached target integritysetup.target - Local Integrity Protected Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mintegrityse…Local Integrity Protected Volumes.\n[ 13.566227] systemd[1]: Reached target paths.target - Path Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mpaths.target^[[0m - Path Units.\n[ 13.586258] systemd[1]: Reached target remote-fs.target - Remote File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mremote-fs.target^[[0m - Remote File Systems.\n[ 13.605780] systemd[1]: Reached target slices.target - Slice Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mslices.target^[[0m - Slice Units.\n[ 13.626314] systemd[1]: Reached target swap.target - Swaps.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mswap.target^[[0m - Swaps.\n[ 13.646364] systemd[1]: Reached target veritysetup.target - Local Verity Protected Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mveritysetup… - Local Verity Protected Volumes.\n[ 13.670738] systemd[1]: Listening on systemd-initctl.socket - initctl Compatibility Named Pipe.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-initc… initctl Compatibility Named Pipe.\n[ 13.696816] systemd[1]: Listening on systemd-journald-audit.socket - Journal Audit Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journ…socket^[[0m - Journal Audit Socket.\n[ 13.723744] systemd[1]: Listening on systemd-journald-dev-log.socket - Journal Socket (/dev/log).\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journ…t^[[0m - Journal Socket (/dev/log).\n[ 13.750889] systemd[1]: Listening on systemd-journald.socket - Journal Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journald.socket^[[0m - Journal Socket.\n[ 13.776147] systemd[1]: Listening on systemd-networkd.socket - Network Service Netlink Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-netwo… - Network Service Netlink Socket.\n[ 13.801784] systemd[1]: Listening on systemd-udevd-control.socket - udev Control Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-udevd….socket^[[0m - udev Control Socket.\n[ 13.822605] systemd[1]: Listening on systemd-udevd-kernel.socket - udev Kernel Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-udevd…l.socket^[[0m - udev Kernel Socket.\n[ 13.885994] systemd[1]: Mounting dev-hugepages.mount - Huge Pages File System...\nMounting ^[[0;1;39mdev-hugepages.mount^[[0m - Huge Pages File System...\n[ 13.910543] systemd[1]: Mounting dev-mqueue.mount - POSIX Message Queue File System...\nMounting ^[[0;1;39mdev-mqueue.mount^[…POSIX Message Queue File System...\n[ 13.938868] systemd[1]: Mounting sys-kernel-debug.mount - Kernel Debug File System...\nMounting ^[[0;1;39msys-kernel-debug.…^[[0m - Kernel Debug File System...\n[ 13.962354] systemd[1]: sys-kernel-tracing.mount - Kernel Trace File System was skipped because of an unmet condition check (ConditionPathExists=/sys/kernel/tracing).\n[ 14.006185] systemd[1]: Starting kmod-static-nodes.service - Create List of Static Device Nodes...\nStarting ^[[0;1;39mkmod-static-nodes…ate List of Static Device Nodes...\n[ 14.035595] systemd[1]: Starting modprobe@configfs.service - Load Kernel Module configfs...\nStarting ^[[0;1;39mmodprobe@configfs…m - Load Kernel Module configfs...\n[ 14.068744] systemd[1]: Starting modprobe@dm_mod.service - Load Kernel Module dm_mod...\nStarting ^[[0;1;39mmodprobe@dm_mod.s…[0m - Load Kernel Module dm_mod...\n[ 14.097515] systemd[1]: Starting modprobe@drm.service - Load Kernel Module drm...\nStarting ^[[0;1;39mmodprobe@drm.service^[[0m - Load Kernel Module drm...\n[ 14.115851] device-mapper: ioctl: 4.48.0-ioctl (2023-03-01) initialised: dm-devel@lists.linux.dev\n[ 14.128294] systemd[1]: Starting modprobe@efi_pstore.service - Load Kernel Module efi_pstore...\nStarting ^[[0;1;39mmodprobe@efi_psto…- Load Kernel Module efi_pstore...\n[ 14.158789] systemd[1]: Starting modprobe@fuse.service - Load Kernel Module fuse...\nStarting ^[[0;1;39mmodprobe@fuse.ser…e^[[0m - Load Kernel Module fuse...\n[ 14.184820] systemd[1]: Starting modprobe@loop.service - Load Kernel Module loop...\nStarting ^[[0;1;39mmodprobe@loop.ser…e[ 14.196490] fuse: init (API version 7.40)\n^[[0m - Load Kernel Module loop...\n[ 14.216656] systemd[1]: Starting systemd-journald.service - Journal Service...\nStarting ^[[0;1;39msystemd-journald.service^[[0m - Journal Service...\n[ 14.247343] systemd[1]: Starting systemd-modules-load.service - Load Kernel Modules...\nStarting ^[[0;1;39msystemd-modules-l…rvice^[[0m - Load Kernel Modules...\n[ 14.274752] systemd[1]: Starting systemd-network-generator.service - Generate network units from Kernel command line...\nStarting ^[[0;1;39msystemd-network-g… units from Kernel command line...\n[ 14.305745] systemd[1]: Starting systemd-remount-fs.service - Remount Root and Kernel File Systems...\nStarting ^[[0;1;39msystemd-remount-f…nt Root and Kernel File Systems...\n[ 14.336873] systemd[1]: Starting systemd-udev-trigger.service - Coldplug All udev Devices...\nStarting ^[[0;1;39msystemd-udev-trig…[0m - Coldplug All udev Devices...\n[ 14.366334] systemd[1]: Mounted dev-hugepages.mount - Huge Pages File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39mdev-hugepages.mount^[[0m - Huge Pages File System.\n[ 14.386413] systemd[1]: Mounted dev-mqueue.mount - POSIX Message Queue File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39mdev-mqueue.mount^[[…- POSIX Message Queue File System.\n[ 14.406304] systemd[1]: Started systemd-journald.service - Journal Service.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-journald.service^[[0m - Journal Service.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-kernel-debug.m…nt^[[0m - Kernel Debug File System.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mkmod-static-nodes…reate List of Static Device Nodes.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@configfs…[0m - Load Kernel Module configfs.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@dm_mod.s…e^[[0m - Load Kernel Module dm_mod.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@drm.service^[[0m - Load Kernel Module drm.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@efi_psto…m - Load Kernel Module efi_pstore.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@fuse.service^[[0m - Load Kernel Module fuse.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@loop.service^[[0m - Load Kernel Module loop.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-modules-l…service^[[0m - Load Kernel Modules.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-network-g…rk units from Kernel command line.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-remount-f…ount Root and Kernel File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mnetwork-pre…get^[[0m - Preparation for Network.\nMounting ^[[0;1;39msys-fs-fuse-conne…^[[0m - FUSE Control File System...\nMounting ^[[0;1;39msys-kernel-config…ernel Configuration File System...\nStarting ^[[0;1;39msystemd-journal-f…h Journal to Persistent Storage...\nStarting ^[[0;1;39msystemd-pstore.se…orm Persistent Storage Archival...\nStarting ^[[0;1;39msystemd-random-se…ice^[[0m - Load/Save Random Seed...\n[ 14.793327] systemd-journald[313]: Received client request to flush runtime journal.\nStarting ^[[0;1;39msystemd-sysctl.se…ce^[[0m - Apply Kernel Variables...\nStarting ^[[0;1;39msystemd-sysusers.…rvice^[[0m - Create System Users...\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-fs-fuse-connec…nt^[[0m - FUSE Control File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-kernel-config.… Kernel Configuration File System.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-pstore.se…tform Persistent Storage Archival.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-udev-trig…e^[[0m - Coldplug All udev Devices.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-random-se…rvice^[[0m - Load/Save Random Seed.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-sysctl.service^[[0m - Apply Kernel Variables.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-sysusers.service^[[0m - Create System Users.\nStarting ^[[0;1;39msystemd-tmpfiles-…ate Static Device Nodes in /dev...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-journal-f…ush Journal to Persistent Storage.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-tmpfiles-…reate Static Device Nodes in /dev.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mlocal-fs-pr…reparation for Local File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mlocal-fs.target^[[0m - Local File Systems.\nStarting ^[[0;1;39msystemd-tmpfiles-… Volatile Files and Directories...\nStarting ^[[0;1;39msystemd-udevd.ser…ger for Device Events and Files...\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-udevd.serv…nager for Device Events and Files.\nStarting ^[[0;1;39msystemd-networkd.…ice^[[0m - Network Configuration...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-tmpfiles-…te Volatile Files and Directories.\n[^[[0;32m OK ^[[0m] Found device ^[[0;1;39mdev-ttyS0.device^[[0m - /dev/ttyS0.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-syste…- Slice /system/systemd-backlight.\nStarting ^[[0;1;39msystemd-backlight…ess of backlight:backlight-lcd0...\nStarting ^[[0;1;39msystemd-timesyncd… - Network Time Synchronization...\nStarting ^[[0;1;39msystemd-update-ut…rd System Boot/Shutdown in UTMP...\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mbluetooth.target^[[0m - Bluetooth Support.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-rfkil…l Switch Status /dev/rfkill Watch.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-backlight…tness of backlight:backlight-lcd0.\nStarting ^[[0;1;39msystemd-rfkill.se…Load/Save RF Kill Switch Status...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-update-ut…cord System Boot/Shutdown in UTMP.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-networkd.service^[[0m - Network Configuration.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mnetwork.target^[[0m - Network.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-rfkill.ser…- Load/Save RF Kill Switch Status.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-timesyncd.…0m - Network Time Synchronization.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39msysinit.target^[[0m - System Initialization.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-tmpfiles-c… Cleanup of Temporary Directories.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mtime-set.target^[[0m - System Time Set.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mapt-daily.timer^[[0m - Daily apt download activities.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mapt-daily-upgrade.… apt upgrade and clean activities.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mdpkg-db-backup.tim… Daily dpkg database backup timer.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39me2scrub_all.timer^[…etadata Check for All Filesystems.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mfstrim.timer^[[0m - Discard unused blocks once a week.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mtimers.target^[[0m - Timer Units.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39mdbus.socket^[[…- D-Bus System Message Bus Socket.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39msockets.target^[[0m - Socket Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mbasic.target^[[0m - Basic System.\nStarting ^[[0;1;39mdbus.service^[[0m - D-Bus System Message Bus...\nStarting ^[[0;1;39me2scrub_reap.serv…e ext4 Metadata Check Snapshots...\nStarting ^[[0;1;39msystemd-logind.se…ice^[[0m - User Login Management...\nStarting ^[[0;1;39msystemd-user-sess…vice^[[0m - Permit User Sessions...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-user-sess…ervice^[[0m - Permit User Sessions.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mgetty@tty1.service^[[0m - Getty on tty1.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mserial-getty@ttyS0…rvice^[[0m - Serial Getty on ttyS0.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mgetty.target^[[0m - Login Prompts.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mdbus.service^[[0m - D-Bus System Message Bus.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39me2scrub_reap.serv…ine ext4 Metadata Check Snapshots.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-logind.service^[[0m - User Login Management.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mmulti-user.target^[[0m - Multi-User System.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mgraphical.target^[[0m - Graphical Interface.\nStarting ^[[0;1;39msystemd-update-ut… Record Runlevel Change in UTMP...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-update-ut… - Record Runlevel Change in UTMP.\n[ 33.758259] pp1840_vaux18: disabling\n[ 33.762206] pp2800_vaud28: disabling\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n'}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:13:45 PM UTC [INFO] Received an event for node: 66f04ad48f2c39d354f31ac1 09/22/2024 05:13:47 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04ad48f2c39d354f31ac1', 'origin': 'maestro', 'comment': 'kselftest-devices-probe on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T16:50:28.528000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759343', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759343'}}, 'waived': False, 'path': 'kselftest.devices-probe', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'Job', 'error_msg': 'login-action timed out after 120 seconds'}, 'status': 'MISS', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-devices-probe-66f04ad48f2c39d354f31ac1/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kselftest-devices-probe-66f04ad48f2c39d354f31ac1/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': "595] r8152 2-1.1.1:1.0: Direct firmware load for rtl_nic/rtl8153b-2.fw failed with error -2\n[ 5.941729] r8152 2-1.1.1:1.0: unable to load firmware patch rtl_nic/rtl8153b-2.fw (-2)\n[ 5.994441] r8152 2-1.1.1:1.0 eth0: v1.12.13\n[ 5.995107] asix 2-1.2:1.0 (unnamed net_device) (uninitialized): PHY [usb-002:004:10] driver [Asix Electronics AX88772C] (irq=POLL)\n[ 6.017705] Asix Electronics AX88772C usb-002:004:10: attached PHY driver (mii_bus:phy_addr=usb-002:004:10, irq=POLL)\n[ 6.029212] asix 2-1.2:1.0 eth1: register 'asix' at usb-11280000.usb-1.2, ASIX AX88772B USB 2.0 Ethernet, 78:2d:7e:14:2f:ae\n[ 6.032765] r8152 2-1.1.1:1.0 enx88541f0f7256: renamed from eth0\n[ 6.040526] usbcore: registered new interface driver asix\n[ 6.051868] usb 2-1.5: new high-speed USB device number 7 using xhci-mtk\n[ 6.056471] asix 2-1.2:1.0 enx782d7e142fae: renamed from eth1\n[ 6.178865] hid-generic 0003:0BDA:1100.0002: device has no listeners, quitting\n[ 6.621877] mt7921s mmc1:0001:1: Message 00000010 (seq 1) timeout\n[ 6.628083] mt7921s mmc1:0001:1: Failed to get patch semaphore\nDevice /sys/class/net/enx782d7e142fae found\ndone.\nBegin: Waiting up to 180 secs for any network device to become available ... done.\nIP-Config: enx78[ 6.894321] asix 2-1.2:1.0 enx782d7e142fae: configuring for phy/internal link mode\n2d7e142fae hardware address 78:2d:7e:14:2f:ae mtu 1500 DHCP\nIP-Config: enx88541f0f7256 hardware address 88:54:1f:0f:72:56 mtu 1500 DHCP\nIP-Config: no response after 2 secs - giving up\nIP-Config: enx782d7e142fae hardware address 78:2d:7e:14:2f:ae mtu 1500 DHCP\nIP-Config: enx88541f0f7256 hardware address 88:54:1f:0f:72:56 mtu 1500 DHCP\n[ 9.991988] asix 2-1.2:1.0 enx782d7e142fae: Link is Up - 100Mbps/Full - flow control off\nIP-Config: enx782d7e142fae complete (dhcp from 192.168.201.1):\naddress: 192.168.201.18 broadcast: 192.168.201.255 netmask: 255.255.255.0\ngateway: 192.168.201.1 dns0 : 192.168.201.1 dns1 : 0.0.0.0\nhost : mt8186-corsola-steelix-sku131072-cbg-0\ndomain : lava-rack\nrootserver: 192.168.201.1 rootpath:\nfilename :\ndone.\nBegin: Running /scripts/nfs-bottom ... done.\nBegin: Running /scripts/init-bottom ... done.\n[ 11.745547] ------------[ cut here ]------------\n[ 11.750183] WARNING: CPU: 3 PID: 131 at kernel/kthread.c:76 kthread_park+0x94/0xd0\n[ 11.757772] Modules linked in: ax88796b asix uvcvideo uvc onboard_usb_dev videobuf2_vmalloc mtk_vcodec_dec_hw panel_edp mt7921s mt76_sdio mtk_vcodec_dec mt7921_common v4l2_vp9 mt792x_lib mtk_vcodec_enc v4l2_h264 mt76_connac_lib mtk_jpeg mtk_vcodec_dbgfs mtk_jpeg_enc_hw mtk_vcodec_common mt76 mtk_vpu mtk_jpeg_dec_hw cros_ec_rpmsg btmtksdio v4l2_mem2mem mac80211 btmtk cbmem videobuf2_dma_contig libarc4 snd_sof_mt8186 videobuf2_memops bluetooth cros_ec_lid_angle cros_ec_sensors mtk_adsp_common ecdh_generic videobuf2_v4l2 cros_ec_sensors_core snd_sof_xtensa_dsp crct10dif_ce mtk_scp videodev snd_sof_of cfg80211 ecc snd_sof videobuf2_common mtk_rpmsg industrialio_triggered_buffer mc mediatek_drm kfifo_buf leds_cros_ec cros_ec_chardev mtk_mmsys sbs_battery cros_ec_typec phy_mtk_mipi_dsi_drv rfkill mtk_mutex drm_dma_helper mtk_scp_ipi hid_multitouch snd_sof_utils elan_i2c coreboot_table mt6577_auxadc lvts_thermal mtk_svs mtk_wdt pwm_bl backlight ramoops reed_solomon\n[ 11.842935] CPU: 3 UID: 0 PID: 131 Comm: kworker/3:2 Tainted: G D W 6.11.0 #1\n[ 11.851290] Tainted: [D]=DIE, [W]=WARN\n[ 11.855033] Hardware name: Google Steelix board (DT)\n[ 11.859995] Workqueue: events mt7921_init_work [mt7921_common]\n[ 11.865861] pstate: 20400009 (nzCv daif +PAN -UAO -TCO -DIT -SSBS BTYPE=--)\n[ 11.872822] pc : kthread_park+0x94/0xd0\n[ 11.876662] lr : mt7921s_init_reset+0x80/0x24c [mt7921s]\n[ 11.881982] sp : ffff800080aebcd0\n[ 11.885289] x29: ffff800080aebcd0 x28: 0000000000000000 x27: 0000000000000000\n[ 11.892433] x26: 0000000000000000 x25: ffff37ba4cf92148 x24: ffff37ba4cf9a148\n[ 11.899578] x23: 000000000041f23c x22: ffff37ba4cf92000 x21: ffff37ba4cf97738\n[ 11.906722] x20: ffff37ba4cf97800 x19: ffff37ba49226780 x18: 0000000000000001\n[ 11.913864] x17: 0000000000303400 x16: ffffa46a0cac4ff4 x15: 001501f0000d0012\n[ 11.921008] x14: ffff37ba49118080 x13: 00000000000002fc x12: 0000000000000001\n[ 11.928149] x11: 0000000000000001 x10: 0000000000000a90 x9 : ffff800080aebbb0\n[ 11.935291] x8 : ffff37bb76d87cc0 x7 : ffff37bb76d90bc0 x6 : 00000000000003e8\n[ 11.942432] x5 : ffff37ba4cf92068 x4 : ffff37ba4cf92060 x3 : ffff37ba4cf97740\n[ 11.949575] x2 : ffff37ba4cf97740 x1 : 0000000000005800 x0 : 000000000040800c\n[ 11.956717] Call trace:\n[ 11.959157] kthread_park+0x94/0xd0\n[ 11.962650] mt7921s_init_reset+0x80/0x24c [mt7921s]\n[ 11.967616] mt7921_init_work+0x190/0x240 [mt7921_common]\n[ 11.973026] process_one_work+0x14c/0x28c\n[ 11.977037] worker_thread+0x2d0/0x3d8\n[ 11.980785] kthread+0x114/0x118\n[ 11.984016] ret_from_fork+0x10/0x20\n[ 11.987597] ---[ end trace 0000000000000000 ]---\n[ 11.992294] ------------[ cut here ]------------\n[ 11.996907] WARNING: CPU: 3 PID: 131 at kernel/kthread.c:657 kthread_park+0xa4/0xd0\n[ 12.004558] Modules linked in: ax88796b asix uvcvideo uvc onboard_usb_dev videobuf2_vmalloc mtk_vcodec_dec_hw panel_edp mt7921s mt76_sdio mtk_vcodec_dec mt7921_common v4l2_vp9 mt792x_lib mtk_vcodec_enc v4l2_h264 mt76_connac_lib mtk_jpeg mtk_vcodec_dbgfs mtk_jpeg_enc_hw mtk_vcodec_common mt76 mtk_vpu mtk_jpeg_dec_hw cros_ec_rpmsg btmtksdio v4l2_mem2mem mac80211 btmtk cbmem videobuf2_dma_contig libarc4 snd_sof_mt8186 videobuf2_memops bluetooth cros_ec_lid_angle cros_ec_sensors mtk_adsp_common ecdh_generic videobuf2_v4l2 cros_ec_sensors_core snd_sof_xtensa_dsp crct10dif_ce mtk_scp videodev snd_sof_of cfg80211 ecc snd_sof videobuf2_common mtk_rpmsg industrialio_triggered_buffer mc mediatek_drm kfifo_buf leds_cros_ec cros_ec_chardev mtk_mmsys sbs_battery cros_ec_typec phy_mtk_mipi_dsi_drv rfkill mtk_mutex drm_dma_helper mtk_scp_ipi hid_multitouch snd_sof_utils elan_i2c coreboot_table mt6577_auxadc lvts_thermal mtk_svs mtk_wdt pwm_bl backlight ramoops reed_solomon\n[ 12.089486] CPU: 3 UID: 0 PID: 131 Comm: kworker/3:2 Tainted: G D W 6.11.0 #1\n[ 12.097827] Tainted: [D]=DIE, [W]=WARN\n[ 12.101564] Hardware name: Google Steelix board (DT)\n[ 12.106516] Workqueue: events mt7921_init_work [mt7921_common]\n[ 12.112347] pstate: 20400009 (nzCv daif +PAN -UAO -TCO -DIT -SSBS BTYPE=--)\n[ 12.119298] pc : kthread_park+0xa4/0xd0\n[ 12.123126] lr : mt7921s_init_reset+0x80/0x24c [mt7921s]\n[ 12.128432] sp : ffff800080aebcd0\n[ 12.131736] x29: ffff800080aebcd0 x28: 0000000000000000 x27: 0000000000000000\n[ 12.138864] x26: 0000000000000000 x25: ffff37ba4cf92148 x24: ffff37ba4cf9a148\n[ 12.145990] x23: 000000000041f23c x22: ffff37ba4cf92000 x21: ffff37ba4cf97738\n[ 12.153117] x20: 0000000000000000 x19: ffff37ba49226780 x18: 0000000000000001\n[ 12.160244] x17: 0000000000303400 x16: ffffa46a0cac4ff4 x15: 001501f0000d0012\n[ 12.167371] x14: ffff37ba49118080 x13: 00000000000002fc x12: 0000000000000001\n[ 12.174497] x11: 0000000000000001 x10: 0000000000000a90 x9 : ffff800080aebbb0\n[ 12.181624] x8 : ffff37bb76d87cc0 x7 : ffff37bb76d90bc0 x6 : 00000000000003e8\n[ 12.188751] x5 : ffff37ba4cf92068 x4 : ffff37ba4cf92060 x3 : ffff37ba4cf97740\n[ 12.195879] x2 : ffff37ba4cf97740 x1 : 0000000000005800 x0 : 000000000040800c\n[ 12.203006] Call trace:\n[ 12.205441] kthread_park+0xa4/0xd0\n[ 12.208921] mt7921s_init_reset+0x80/0x24c [mt7921s]\n[ 12.213877] mt7921_init_work+0x190/0x240 [mt7921_common]\n[ 12.219269] process_one_work+0x14c/0x28c\n[ 12.223271] worker_thread+0x2d0/0x3d8\n[ 12.227011] kthread+0x114/0x118\n[ 12.230230] ret_from_fork+0x10/0x20\n[ 12.233797] ---[ end trace 0000000000000000 ]---\n[ 12.351683] ------------[ cut here ]------------\n[ 12.356315] WARNING: CPU: 3 PID: 131 at kernel/kthread.c:76 kthread_unpark+0xac/0xb4\n[ 12.364076] Modules linked in: ax88796b asix uvcvideo uvc onboard_usb_dev videobuf2_vmalloc mtk_vcodec_dec_hw panel_edp mt7921s mt76_sdio mtk_vcodec_dec mt7921_common v4l2_vp9 mt792x_lib mtk_vcodec_enc v4l2_h264 mt76_connac_lib mtk_jpeg mtk_vcodec_dbgfs mtk_jpeg_enc_hw mtk_vcodec_common mt76 mtk_vpu mtk_jpeg_dec_hw cros_ec_rpmsg btmtksdio v4l2_mem2mem mac80211 btmtk cbmem videobuf2_dma_contig libarc4 snd_sof_mt8186 videobuf2_memops bluetooth cros_ec_lid_angle cros_ec_sensors mtk_adsp_common ecdh_generic videobuf2_v4l2 cros_ec_sensors_core snd_sof_xtensa_dsp crct10dif_ce mtk_scp videodev snd_sof_of cfg80211 ecc snd_sof videobuf2_common mtk_rpmsg industrialio_triggered_buffer mc mediatek_drm kfifo_buf leds_cros_ec cros_ec_chardev mtk_mmsys sbs_battery cros_ec_typec phy_mtk_mipi_dsi_drv rfkill mtk_mutex drm_dma_helper mtk_scp_ipi hid_multitouch snd_sof_utils elan_i2c coreboot_table mt6577_auxadc lvts_thermal mtk_svs mtk_wdt pwm_bl backlight ramoops reed_solomon\n[ 12.449242] CPU: 3 UID: 0 PID: 131 Comm: kworker/3:2 Tainted: G D W 6.11.0 #1\n[ 12.457601] Tainted: [D]=DIE, [W]=WARN\n[ 12.461349] Hardware name: Google Steelix board (DT)\n[ 12.466314] Workqueue: events mt7921_init_work [mt7921_common]\n[ 12.472198] pstate: 60400009 (nZCv daif +PAN -UAO -TCO -DIT -SSBS BTYPE=--)\n[ 12.479165] pc : kthread_unpark+0xac/0xb4\n[ 12.483188] lr : mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 12.488515] sp : ffff800080aebcc0\n[ 12.491829] x29: ffff800080aebcc0 x28: 0000000000000000 x27: 0000000000000000\n[ 12.498986] x26: 0000000000000000 x25: ffff37ba4cf92148 x24: ffff37ba4cf9a148\n[ 12.506139] x23: 000000000041f23c x22: ffff37ba4cf92000 x21: ffff37ba4cf976b0\n[ 12.513280] x20: ffff37ba4cf97800 x19: ffff37ba4cf92000 x18: ffff37bb7eea823c\n[ 12.520414] x17: 0000000000048d20 x16: ffffa46a0cac4a68 x15: 0000000000000000\n[ 12.527546] x14: ffff37ba49118080 x13: 000000000000017d x12: 0000000000000001\n[ 12.534672] x11: 0000000000000001 x10: 0000000000000a90 x9 : ffff800080aeb850\n[ 12.541799] x8 : 0000000000000100 x7 : ffff37bb76078000 x6 : 0000000000000018\n[ 12.548925] x5 : 00000000ffffcf80 x4 : 00ffffffffffffff x3 : 0000000000001101\n[ 12.556051] x2 : 00000000fffee717 x1 : 000000000040800c x0 : ffff37ba49226780\n[ 12.563177] Call trace:\n[ 12.565612] kthread_unpark+0xac/0xb4\n[ 12.569266] mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 12.574221] mt7921_init_work+0x190/0x240 [mt7921_common]\n[ 12.579613] process_one_work+0x14c/0x28c\n[ 12.583613] worker_thread+0x2d0/0x3d8\n[ 12.587352] kthread+0x114/0x118\n[ 12.590571] ret_from_fork+0x10/0x20\n[ 12.594138] ---[ end trace 0000000000000000 ]---\n[ 12.598792] Unable to handle kernel NULL pointer dereference at virtual address 0000000000000000\n[ 12.607581] Mem abort info:\n[ 12.610374] ESR = 0x0000000096000004\n[ 12.614117] EC = 0x25: DABT (current EL), IL = 32 bits\n[ 12.615174] NET: Registered PF_INET6 protocol family\n[ 12.619423] SET = 0, FnV = 0\n[ 12.625089] Segment Routing with IPv6\n[ 12.627422] EA = 0, S1PTW = 0\n[ 12.627424] FSC = 0x04: level 0 translation fault\n[ 12.631085] In-situ OAM (IOAM) with IPv6\n[ 12.634210] Data abort info:\n[ 12.645857] ISV = 0, ISS = 0x00000004, ISS2 = 0x00000000\n[ 12.651336] CM = 0, WnR = 0, TnD = 0, TagAccess = 0\n[ 12.656382] GCS = 0, Overlay = 0, DirtyBit = 0, Xs = 0\n[ 12.661688] user pgtable: 4k pages, 48-bit VAs, pgdp=000000010acca000\n[ 12.668122] [0000000000000000] pgd=0000000000000000, p4d=0000000000000000\n[ 12.674910] Internal error: Oops: 0000000096000004 [#2] PREEMPT SMP\n[ 12.681165] Modules linked in: x_tables ipv6 ax88796b asix uvcvideo uvc onboard_usb_dev videobuf2_vmalloc mtk_vcodec_dec_hw panel_edp mt7921s mt76_sdio mtk_vcodec_dec mt7921_common v4l2_vp9 mt792x_lib mtk_vcodec_enc v4l2_h264 mt76_connac_lib mtk_jpeg mtk_vcodec_dbgfs mtk_jpeg_enc_hw mtk_vcodec_common mt76 mtk_vpu mtk_jpeg_dec_hw cros_ec_rpmsg btmtksdio v4l2_mem2mem mac80211 btmtk cbmem videobuf2_dma_contig libarc4 snd_sof_mt8186 videobuf2_memops bluetooth cros_ec_lid_angle cros_ec_sensors mtk_adsp_common ecdh_generic videobuf2_v4l2 cros_ec_sensors_core snd_sof_xtensa_dsp crct10dif_ce mtk_scp videodev snd_sof_of cfg80211 ecc snd_sof videobuf2_common mtk_rpmsg industrialio_triggered_buffer mc mediatek_drm kfifo_buf leds_cros_ec cros_ec_chardev mtk_mmsys sbs_battery cros_ec_typec phy_mtk_mipi_dsi_drv rfkill mtk_mutex drm_dma_helper mtk_scp_ipi hid_multitouch snd_sof_utils elan_i2c coreboot_table mt6577_auxadc lvts_thermal mtk_svs mtk_wdt pwm_bl backlight ramoops reed_solomon\n[ 12.767311] CPU: 3 UID: 0 PID: 131 Comm: kworker/3:2 Tainted: G D W 6.11.0 #1\n[ 12.775651] Tainted: [D]=DIE, [W]=WARN\n[ 12.779387] Hardware name: Google Steelix board (DT)\n[ 12.784341] Workqueue: events mt7921_init_work [mt7921_common]\n[ 12.790173] pstate: 60400009 (nZCv daif +PAN -UAO -TCO -DIT -SSBS BTYPE=--)\n[ 12.797122] pc : kthread_unpark+0x1c/0xb4\n[ 12.801125] lr : mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 12.806430] sp : ffff800080aebcc0\n[ 12.809732] x29: ffff800080aebcc0 x28: 0000000000000000 x27: 0000000000000000\n[ 12.816859] x26: 0000000000000000 x25: ffff37ba4cf92148 x24: ffff37ba4cf9a148\n[ 12.823986] x23: 000000000041f23c x22: ffff37ba4cf92000 x21: ffff37ba4cf976b0\n[ 12.831112] x20: ffff37ba4cf97800 x19: 0000000000000000 x18: ffff37bb7eea823c\n[ 12.838239] x17: 0000000000048d20 x16: ffffa46a0cac4a68 x15: 0000000000000000\n[ 12.845364] x14: ffff37ba49118080 x13: 000000000000017d x12: 0000000000000001\n[ 12.852490] x11: 0000000000000001 x10: 0000000000000a90 x9 : ffff800080aeb850\n[ 12.859617] x8 : 0000000000000100 x7 : ffff37bb76078000 x6 : 0000000000000018\n[ 12.866743] x5 : 00000000ffffcf80 x4 : 00ffffffffffffff x3 : 0000000000001101\n[ 12.873869] x2 : 00000000fffee717 x1 : 000000000040800c x0 : ffff37ba49226780\n[ 12.880995] Call trace:\n[ 12.883430] kthread_unpark+0x1c/0xb4\n[ 12.887084] mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 12.892038] mt7921_init_work+0x190/0x240 [mt7921_common]\n[ 12.897431] process_one_work+0x14c/0x28c\n[ 12.901430] worker_thread+0x2d0/0x3d8\n[ 12.905169] kthread+0x114/0x118\n[ 12.908389] ret_from_fork+0x10/0x20\n[ 12.911958] Code: f9000bf3 b9402c01 36a804c1 f942cc13 (f9400261)\n[ 12.918039] ---[ end trace 0000000000000000 ]---\n[ 13.057854] systemd[1]: systemd 252.30-1~deb12u2 running in system mode (+PAM +AUDIT +SELINUX +APPARMOR +IMA +SMACK +SECCOMP +GCRYPT -GNUTLS +OPENSSL +ACL +BLKID +CURL +ELFUTILS +FIDO2 +IDN2 -IDN +IPTC +KMOD +LIBCRYPTSETUP +LIBFDISK +PCRE2 -PWQUALITY +P11KIT +QRENCODE +TPM2 +BZIP2 +LZ4 +XZ +ZLIB +ZSTD -BPF_FRAMEWORK -XKBCOMMON +UTMP +SYSVINIT default-hierarchy=unified)\n[ 13.090576] systemd[1]: Detected architecture arm64.\nWelcome to ^[[1mDebian GNU/Linux 12 (bookworm)^[[0m!\n[ 13.120256] systemd[1]: Hostname set to .\n[ 14.442647] systemd[1]: Queued start job for default target graphical.target.\n[ 14.496526] systemd[1]: Created slice system-getty.slice - Slice /system/getty.\n[ 16.100218] platform sound: deferred probe pending: mt8186_mt6366: I2S3: codec dai not found\n[ 33.758323] pp1840_vaux18: disabling\n[ 33.762299] pp2800_vaud28: disabling\n[ 46.050112] systemd[1]: Created slice system-modprobe.slice - Slice /system/modprobe.\n[ 76.769064] systemd[1]: Created slice system-serial\\x2dgetty.slice - Slice /system/serial-getty.\n[ 107.489715] systemd[1]: Created slice user.slice - User and Session Slice.\n[ 138.206754] systemd[1]: Started systemd-ask-password-console.path - Dispatch Password Requests to Console Directory Watch.\n[ 168.927746] systemd[1]: Started systemd-ask-password-wall.path - Forward Password Requests to Wall Directory Watch.\n[ 199.646487] systemd[1]: proc-sys-fs-binfmt_misc.automount - Arbitrary Executable File Formats File System Automount Point was skipped because of an unmet condition check (ConditionPathExists=/proc/sys/fs/binfmt_misc).\n[ 199.666118] systemd[1]: Expecting device dev-ttyS0.device - /dev/ttyS0...\n[ 230.366264] systemd[1]: Reached target cryptsetup.target - Local Encrypted Volumes.\n[ 261.086310] systemd[1]: Reached target integritysetup.target - Local Integrity Protected Volumes.\n[ 291.806447] systemd[1]: Reached target paths.target - Path Units.\n[ 322.526243] systemd[1]: Reached target remote-fs.target - Remote File Systems.\n[ 353.246233] systemd[1]: Reached target slices.target - Slice Units.\n"}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:13:47 PM UTC [INFO] Received an event for node: 66f0504bbca8c035cac2c191 09/22/2024 05:13:47 PM UTC [DEBUG] Not sending node to KCIDB: 66f0504bbca8c035cac2c191 09/22/2024 05:13:47 PM UTC [INFO] Received an event for node: 66f04f1ebca8c035cac2c177 09/22/2024 05:14:13 PM UTC [INFO] Received an event for node: 66ef221c1b32192149fa8afe 09/22/2024 05:14:13 PM UTC [DEBUG] Not sending node to KCIDB: 66ef221c1b32192149fa8afe 09/22/2024 05:14:13 PM UTC [INFO] Received an event for node: 66f04fe4bca8c035cac2c179 09/22/2024 05:14:14 PM UTC [INFO] Received an event for node: 66ef22281b32192149fa8aff 09/22/2024 05:14:14 PM UTC [DEBUG] Not sending node to KCIDB: 66ef22281b32192149fa8aff 09/22/2024 05:14:14 PM UTC [INFO] Received an event for node: 66f04fe4bca8c035cac2c17a 09/22/2024 05:14:15 PM UTC [INFO] Received an event for node: 66ef22341b32192149fa8b00 09/22/2024 05:14:15 PM UTC [DEBUG] Not sending node to KCIDB: 66ef22341b32192149fa8b00 09/22/2024 05:14:15 PM UTC [INFO] Received an event for node: 66f04fe4bca8c035cac2c17b 09/22/2024 05:14:51 PM UTC [INFO] Received an event for node: 66ef22431b32192149fa8b01 09/22/2024 05:14:51 PM UTC [DEBUG] Not sending node to KCIDB: 66ef22431b32192149fa8b01 09/22/2024 05:14:51 PM UTC [INFO] Received an event for node: 66f04febbca8c035cac2c17d 09/22/2024 05:14:51 PM UTC [INFO] Received an event for node: 66ef224f1b32192149fa8b02 09/22/2024 05:14:51 PM UTC [DEBUG] Not sending node to KCIDB: 66ef224f1b32192149fa8b02 09/22/2024 05:14:51 PM UTC [INFO] Received an event for node: 66f04febbca8c035cac2c17e 09/22/2024 05:14:56 PM UTC [INFO] Received an event for node: 66ef225b1b32192149fa8b03 09/22/2024 05:14:56 PM UTC [DEBUG] Not sending node to KCIDB: 66ef225b1b32192149fa8b03 09/22/2024 05:14:56 PM UTC [INFO] Received an event for node: 66f04febbca8c035cac2c17f 09/22/2024 05:14:56 PM UTC [INFO] Received an event for node: 66ef21fa1b32192149fa8afb 09/22/2024 05:14:56 PM UTC [DEBUG] Not sending node to KCIDB: 66ef21fa1b32192149fa8afb 09/22/2024 05:14:56 PM UTC [INFO] Received an event for node: 66f05005bca8c035cac2c181 09/22/2024 05:14:57 PM UTC [INFO] Received an event for node: 66ef22061b32192149fa8afc 09/22/2024 05:14:57 PM UTC [DEBUG] Not sending node to KCIDB: 66ef22061b32192149fa8afc 09/22/2024 05:14:57 PM UTC [INFO] Received an event for node: 66f05005bca8c035cac2c182 09/22/2024 05:14:57 PM UTC [INFO] Received an event for node: 66ef22111b32192149fa8afd 09/22/2024 05:14:57 PM UTC [DEBUG] Not sending node to KCIDB: 66ef22111b32192149fa8afd 09/22/2024 05:14:57 PM UTC [INFO] Received an event for node: 66f05005bca8c035cac2c183 09/22/2024 05:14:58 PM UTC [INFO] Received an event for node: 66e8f2b9e7e294ee7a24010e 09/22/2024 05:14:58 PM UTC [DEBUG] Not sending node to KCIDB: 66e8f2b9e7e294ee7a24010e 09/22/2024 05:14:58 PM UTC [INFO] Received an event for node: 66f04ac98f2c39d354f31ab5 09/22/2024 05:14:59 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0436c8f2c39d354f2f791', 'id': 'maestro:66f04ac98f2c39d354f31ab5', 'origin': 'maestro', 'comment': 'baseline-nfs-arm64-mediatek on mt8186-corsola-steelix-sku131072 in lava-collabora', 'start_time': '2024-09-22T16:50:17.163000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,steelix-sku131072', 'google,steelix'], 'misc': {'platform': 'mt8186-corsola-steelix-sku131072', 'job_id': '15759331', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759331'}}, 'waived': False, 'path': 'boot.nfs', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'Job', 'error_msg': 'login-action timed out after 120 seconds'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-nfs-arm64-mediatek-66f04ac98f2c39d354f31ab5/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-nfs-arm64-mediatek-66f04ac98f2c39d354f31ab5/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'iatek_drm cfg80211 ecc crct10dif_ce leds_cros_ec kfifo_buf cros_ec_typec cros_ec_chardev sbs_battery mc mtk_mmsys mtk_mutex rfkill hid_multitouch drm_dma_helper elan_i2c phy_mtk_mipi_dsi_drv snd_sof_mt8186 mtk_adsp_common mtk_scp snd_sof_xtensa_dsp mtk_rpmsg snd_sof_of mtk_scp_ipi lvts_thermal mtk_svs mtk_wdt snd_sof snd_sof_utils mt6577_auxadc pwm_bl ramoops reed_solomon backlight coreboot_table\n[ 12.764317] CPU: 7 UID: 0 PID: 205 Comm: kworker/7:9 Tainted: G D W 6.11.0 #1\n[ 12.772653] Tainted: [D]=DIE, [W]=WARN\n[ 12.776387] Hardware name: Google Steelix board (DT)\n[ 12.781338] Workqueue: events mt7921_init_work [mt7921_common]\n[ 12.787159] pstate: 60400009 (nZCv daif +PAN -UAO -TCO -DIT -SSBS BTYPE=--)\n[ 12.794106] pc : kthread_unpark+0x1c/0xb4\n[ 12.798103] lr : mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 12.803401] sp : ffff800080c5bcc0\n[ 12.806702] x29: ffff800080c5bcc0 x28: 0000000000000000 x27: 0000000000000000\n[ 12.813823] x26: ffff246876df4528 x25: ffff24674b722148 x24: ffff24674b72a148\n[ 12.820943] x23: 000000000041f23c x22: ffff24674b722000 x21: ffff24674b7276b0\n[ 12.828065] x20: ffff24674b727800 x19: 0000000000000000 x18: ffff24687eea827c\n[ 12.835186] x17: 00000000000a9cc8 x16: ffffc9747b0c4a68 x15: 0000000000000000\n[ 12.842307] x14: ffff246748bd91c0 x13: 0000000000000011 x12: 0000000000000001\n[ 12.849428] x11: 0000000000000001 x10: 0000000000000a90 x9 : ffff800080c5b850\n[ 12.856548] x8 : 0000000000000100 x7 : ffff246876078000 x6 : 0000000000000018\n[ 12.863670] x5 : 00000000ffff8f00 x4 : 00ffffffffffffff x3 : 000000000000112a\n[ 12.870791] x2 : 00000000fffee717 x1 : 000000000040810c x0 : ffff246748be4500\n[ 12.877912] Call trace:\n[ 12.880346] kthread_unpark+0x1c/0xb4\n[ 12.883996] mt7921s_init_reset+0xc0/0x24c [mt7921s]\n[ 12.888947] mt7921_init_work+0x190/0x240 [mt7921_common]\n[ 12.894333] process_one_work+0x14c/0x28c\n[ 12.898330] worker_thread+0x2d0/0x3d8\n[ 12.902065] kthread+0x114/0x118\n[ 12.905281] ret_from_fork+0x10/0x20\n[ 12.908845] Code: f9000bf3 b9402c01 36a804c1 f942cc13 (f9400261)\n[ 12.914922] ---[ end trace 0000000000000000 ]---\n[ 12.930751] systemd[1]: Created slice system-getty.slice - Slice /system/getty.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-getty.slice^[[0m - Slice /system/getty.\n[ 12.950557] systemd[1]: Created slice system-modprobe.slice - Slice /system/modprobe.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-modpr…lice^[[0m - Slice /system/modprobe.\n[ 12.975037] systemd[1]: Created slice system-serial\\x2dgetty.slice - Slice /system/serial-getty.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-seria…^[[0m - Slice /system/serial-getty.\n[ 12.998884] systemd[1]: Created slice user.slice - User and Session Slice.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39muser.slice^[[0m - User and Session Slice.\n[ 13.018145] systemd[1]: Started systemd-ask-password-console.path - Dispatch Password Requests to Console Directory Watch.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-ask-passwo…quests to Console Directory Watch.\n[ 13.042164] systemd[1]: Started systemd-ask-password-wall.path - Forward Password Requests to Wall Directory Watch.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-ask-passwo… Requests to Wall Directory Watch.\n[ 13.066002] systemd[1]: proc-sys-fs-binfmt_misc.automount - Arbitrary Executable File Formats File System Automount Point was skipped because of an unmet condition check (ConditionPathExists=/proc/sys/fs/binfmt_misc).\n[ 13.085476] systemd[1]: Expecting device dev-ttyS0.device - /dev/ttyS0...\nExpecting device ^[[0;1;39mdev-ttyS0.device^[[0m - /dev/ttyS0...\n[ 13.105877] systemd[1]: Reached target cryptsetup.target - Local Encrypted Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mcryptsetup.…get^[[0m - Local Encrypted Volumes.\n[ 13.125915] systemd[1]: Reached target integritysetup.target - Local Integrity Protected Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mintegrityse…Local Integrity Protected Volumes.\n[ 13.150243] systemd[1]: Reached target paths.target - Path Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mpaths.target^[[0m - Path Units.\n[ 13.170028] systemd[1]: Reached target remote-fs.target - Remote File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mremote-fs.target^[[0m - Remote File Systems.\n[ 13.189931] systemd[1]: Reached target slices.target - Slice Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mslices.target^[[0m - Slice Units.\n[ 13.210237] systemd[1]: Reached target swap.target - Swaps.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mswap.target^[[0m - Swaps.\n[ 13.230409] systemd[1]: Reached target veritysetup.target - Local Verity Protected Volumes.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mveritysetup… - Local Verity Protected Volumes.\n[ 13.254890] systemd[1]: Listening on systemd-initctl.socket - initctl Compatibility Named Pipe.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-initc… initctl Compatibility Named Pipe.\n[ 13.281610] systemd[1]: Listening on systemd-journald-audit.socket - Journal Audit Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journ…socket^[[0m - Journal Audit Socket.\n[ 13.307835] systemd[1]: Listening on systemd-journald-dev-log.socket - Journal Socket (/dev/log).\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journ…t^[[0m - Journal Socket (/dev/log).\n[ 13.334990] systemd[1]: Listening on systemd-journald.socket - Journal Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-journald.socket^[[0m - Journal Socket.\n[ 13.360166] systemd[1]: Listening on systemd-networkd.socket - Network Service Netlink Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-netwo… - Network Service Netlink Socket.\n[ 13.385521] systemd[1]: Listening on systemd-udevd-control.socket - udev Control Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-udevd….socket^[[0m - udev Control Socket.\n[ 13.406633] systemd[1]: Listening on systemd-udevd-kernel.socket - udev Kernel Socket.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-udevd…l.socket^[[0m - udev Kernel Socket.\n[ 13.466010] systemd[1]: Mounting dev-hugepages.mount - Huge Pages File System...\nMounting ^[[0;1;39mdev-hugepages.mount^[[0m - Huge Pages File System...\n[ 13.490486] systemd[1]: Mounting dev-mqueue.mount - POSIX Message Queue File System...\nMounting ^[[0;1;39mdev-mqueue.mount^[…POSIX Message Queue File System...\n[ 13.520659] systemd[1]: Mounting sys-kernel-debug.mount - Kernel Debug File System...\nMounting ^[[0;1;39msys-kernel-debug.…^[[0m - Kernel Debug File System...\n[ 13.542060] systemd[1]: sys-kernel-tracing.mount - Kernel Trace File System was skipped because of an unmet condition check (ConditionPathExists=/sys/kernel/tracing).\n[ 13.564211] systemd[1]: Starting kmod-static-nodes.service - Create List of Static Device Nodes...\nStarting ^[[0;1;39mkmod-static-nodes…ate List of Static Device Nodes...\n[ 13.591036] systemd[1]: Starting modprobe@configfs.service - Load Kernel Module configfs...\nStarting ^[[0;1;39mmodprobe@configfs…m - Load Kernel Module configfs...\n[ 13.620937] systemd[1]: Starting modprobe@dm_mod.service - Load Kernel Module dm_mod...\nStarting ^[[0;1;39mmodprobe@dm_mod.s…[0m - Load Kernel Module dm_mod...\n[ 13.647213] systemd[1]: Starting modprobe@drm.service - Load Kernel Module drm...\nStarting ^[[0;1;39mmodprobe@drm.service^[[0m - Load Kernel Module drm...\n[ 13.666822] device-mapper: ioctl: 4.48.0-ioctl (2023-03-01) initialised: dm-devel@lists.linux.dev\n[ 13.680345] systemd[1]: Starting modprobe@efi_pstore.service - Load Kernel Module efi_pstore...\nStarting ^[[0;1;39mmodprobe@efi_psto…- Load Kernel Module efi_pstore...\n[ 13.711114] systemd[1]: Starting modprobe@fuse.service - Load Kernel Module fuse...\nStarting ^[[0;1;39mmodprobe@fuse.ser…e^[[0m - Load Kernel Module fuse...\n[ 13.739141] systemd[1]: Starting modprobe@loop.service - Load Kernel Module loop...\nStarting ^[[0;1;39mmodprobe@loop.ser…e^[[0m - Load Kernel Module loop...\n[ 13.754625] fuse: init (API version 7.40)\n[ 13.772906] systemd[1]: Starting systemd-journald.service - Journal Service...\nStarting ^[[0;1;39msystemd-journald.service^[[0m - Journal Service...\n[ 13.850108] systemd[1]: Starting systemd-modules-load.service - Load Kernel Modules...\nStarting ^[[0;1;39msystemd-modules-l…rvice^[[0m - Load Kernel Modules...\n[ 13.873977] systemd[1]: Starting systemd-network-generator.service - Generate network units from Kernel command line...\nStarting ^[[0;1;39msystemd-network-g… units from Kernel command line...\n[ 13.902043] systemd[1]: Starting systemd-remount-fs.service - Remount Root and Kernel File Systems...\nStarting ^[[0;1;39msystemd-remount-f…nt Root and Kernel File Systems...\n[ 13.929651] systemd[1]: Starting systemd-udev-trigger.service - Coldplug All udev Devices...\nStarting ^[[0;1;39msystemd-udev-trig…[0m - Coldplug All udev Devices...\n[ 13.953126] systemd[1]: Started systemd-journald.service - Journal Service.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-journald.service^[[0m - Journal Service.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39mdev-hugepages.mount^[[0m - Huge Pages File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39mdev-mqueue.mount^[[…- POSIX Message Queue File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-kernel-debug.m…nt^[[0m - Kernel Debug File System.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mkmod-static-nodes…reate List of Static Device Nodes.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@configfs…[0m - Load Kernel Module configfs.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@dm_mod.s…e^[[0m - Load Kernel Module dm_mod.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@drm.service^[[0m - Load Kernel Module drm.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@efi_psto…m - Load Kernel Module efi_pstore.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@fuse.service^[[0m - Load Kernel Module fuse.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39mmodprobe@loop.service^[[0m - Load Kernel Module loop.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-modules-l…service^[[0m - Load Kernel Modules.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-network-g…rk units from Kernel command line.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-remount-f…ount Root and Kernel File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mnetwork-pre…get^[[0m - Preparation for Network.\nMounting ^[[0;1;39msys-fs-fuse-conne…^[[0m - FUSE Control File System...\nMounting ^[[0;1;39msys-kernel-config…ernel Configuration File System...\nStarting ^[[0;1;39msystemd-journal-f…h Journal to Persistent Storage...\nStarting ^[[0;1;39msystemd-pstore.se…orm Persistent Storage Archival...\nStarting ^[[0;1;39msystemd-random-se…ice^[[0m - Load/Save Random Seed...\nStarting ^[[0;1;39msystemd-sysctl.se…ce^[[0m - Apply Kernel Variables...\n[ 14.350175] systemd-journald[294]: Received client request to flush runtime journal.\nStarting ^[[0;1;39msystemd-sysusers.…rvice^[[0m - Create System Users...\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-fs-fuse-connec…nt^[[0m - FUSE Control File System.\n[^[[0;32m OK ^[[0m] Mounted ^[[0;1;39msys-kernel-config.… Kernel Configuration File System.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-pstore.se…tform Persistent Storage Archival.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-random-se…rvice^[[0m - Load/Save Random Seed.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-udev-trig…e^[[0m - Coldplug All udev Devices.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-sysctl.service^[[0m - Apply Kernel Variables.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-sysusers.service^[[0m - Create System Users.\nStarting ^[[0;1;39msystemd-tmpfiles-…ate Static Device Nodes in /dev...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-journal-f…ush Journal to Persistent Storage.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-tmpfiles-…reate Static Device Nodes in /dev.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mlocal-fs-pr…reparation for Local File Systems.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mlocal-fs.target^[[0m - Local File Systems.\nStarting ^[[0;1;39msystemd-tmpfiles-… Volatile Files and Directories...\nStarting ^[[0;1;39msystemd-udevd.ser…ger for Device Events and Files...\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-udevd.serv…nager for Device Events and Files.\nStarting ^[[0;1;39msystemd-networkd.…ice^[[0m - Network Configuration...\n[^[[0;32m OK ^[[0m] Found device ^[[0;1;39mdev-ttyS0.device^[[0m - /dev/ttyS0.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-tmpfiles-…te Volatile Files and Directories.\n[^[[0;32m OK ^[[0m] Created slice ^[[0;1;39msystem-syste…- Slice /system/systemd-backlight.\nStarting ^[[0;1;39msystemd-backlight…ess of backlight:backlight-lcd0...\nStarting ^[[0;1;39msystemd-timesyncd… - Network Time Synchronization...\nStarting ^[[0;1;39msystemd-update-ut…rd System Boot/Shutdown in UTMP...\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mbluetooth.target^[[0m - Bluetooth Support.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39msystemd-rfkil…l Switch Status /dev/rfkill Watch.\nStarting ^[[0;1;39msystemd-rfkill.se…Load/Save RF Kill Switch Status...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-backlight…tness of backlight:backlight-lcd0.\n[ 16.610539] platform sound: deferred probe pending: mt8186_mt6366: I2S3: codec dai not found\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-update-ut…cord System Boot/Shutdown in UTMP.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-rfkill.ser…- Load/Save RF Kill Switch Status.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-networkd.service^[[0m - Network Configuration.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mnetwork.target^[[0m - Network.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-timesyncd.…0m - Network Time Synchronization.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39msysinit.target^[[0m - System Initialization.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-tmpfiles-c… Cleanup of Temporary Directories.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mtime-set.target^[[0m - System Time Set.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mapt-daily.timer^[[0m - Daily apt download activities.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mapt-daily-upgrade.… apt upgrade and clean activities.\n[ 16.866722] systemd-journald[294]: Time jumped backwards, rotating.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mdpkg-db-backup.tim… Daily dpkg database backup timer.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39me2scrub_all.timer^[…etadata Check for All Filesystems.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mfstrim.timer^[[0m - Discard unused blocks once a week.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mtimers.target^[[0m - Timer Units.\n[^[[0;32m OK ^[[0m] Listening on ^[[0;1;39mdbus.socket^[[…- D-Bus System Message Bus Socket.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39msockets.target^[[0m - Socket Units.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mbasic.target^[[0m - Basic System.\nStarting ^[[0;1;39mdbus.service^[[0m - D-Bus System Message Bus...\nStarting ^[[0;1;39me2scrub_reap.serv…e ext4 Metadata Check Snapshots...\nStarting ^[[0;1;39msystemd-logind.se…ice^[[0m - User Login Management...\nStarting ^[[0;1;39msystemd-user-sess…vice^[[0m - Permit User Sessions...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-user-sess…ervice^[[0m - Permit User Sessions.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mgetty@tty1.service^[[0m - Getty on tty1.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mserial-getty@ttyS0…rvice^[[0m - Serial Getty on ttyS0.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mgetty.target^[[0m - Login Prompts.\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39me2scrub_reap.serv…ine ext4 Metadata Check Snapshots.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39mdbus.service^[[0m - D-Bus System Message Bus.\n[^[[0;32m OK ^[[0m] Started ^[[0;1;39msystemd-logind.service^[[0m - User Login Management.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mmulti-user.target^[[0m - Multi-User System.\n[^[[0;32m OK ^[[0m] Reached target ^[[0;1;39mgraphical.target^[[0m - Graphical Interface.\nStarting ^[[0;1;39msystemd-update-ut… Record Runlevel Change in UTMP...\n[^[[0;32m OK ^[[0m] Finished ^[[0;1;39msystemd-update-ut… - Record Runlevel Change in UTMP.\n[ 33.758313] pp1840_vaux18: disabling\n[ 33.762255] pp2800_vaud28: disabling\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n#\n'}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:15:00 PM UTC [INFO] Received an event for node: 66f05094bca8c035cac2c192 09/22/2024 05:15:00 PM UTC [DEBUG] Not sending node to KCIDB: 66f05094bca8c035cac2c192 09/22/2024 05:15:00 PM UTC [INFO] Received an event for node: 66f05016bca8c035cac2c184 09/22/2024 05:15:01 PM UTC [INFO] Received an event for node: 66f05095bca8c035cac2c193 09/22/2024 05:15:01 PM UTC [DEBUG] Not sending node to KCIDB: 66f05095bca8c035cac2c193 09/22/2024 05:15:01 PM UTC [INFO] Received an event for node: 66f05016bca8c035cac2c185 09/22/2024 05:15:03 PM UTC [INFO] Received an event for node: 66f05097bca8c035cac2c194 09/22/2024 05:15:03 PM UTC [DEBUG] Not sending node to KCIDB: 66f05097bca8c035cac2c194 09/22/2024 05:15:03 PM UTC [INFO] Received an event for node: 66f05016bca8c035cac2c186 09/22/2024 05:15:06 PM UTC [INFO] Received an event for node: 66edb630941d4502eadb52dd 09/22/2024 05:15:06 PM UTC [DEBUG] Not sending node to KCIDB: 66edb630941d4502eadb52dd 09/22/2024 05:15:07 PM UTC [INFO] Received an event for node: 66f05017bca8c035cac2c187 09/22/2024 05:15:10 PM UTC [INFO] Received an event for node: 66edb63c941d4502eadb52de 09/22/2024 05:15:10 PM UTC [DEBUG] Not sending node to KCIDB: 66edb63c941d4502eadb52de 09/22/2024 05:15:10 PM UTC [INFO] Received an event for node: 66f05017bca8c035cac2c188 09/22/2024 05:15:13 PM UTC [INFO] Received an event for node: 66edb648941d4502eadb52df 09/22/2024 05:15:13 PM UTC [DEBUG] Not sending node to KCIDB: 66edb648941d4502eadb52df 09/22/2024 05:15:13 PM UTC [INFO] Received an event for node: 66f05017bca8c035cac2c189 09/22/2024 05:16:28 PM UTC [INFO] Received an event for node: 66edb651941d4502eadb52e0 09/22/2024 05:16:28 PM UTC [DEBUG] Not sending node to KCIDB: 66edb651941d4502eadb52e0 09/22/2024 05:16:28 PM UTC [INFO] Received an event for node: 66f05032bca8c035cac2c18b 09/22/2024 05:16:40 PM UTC [INFO] Received an event for node: 66edb65e941d4502eadb52e1 09/22/2024 05:16:40 PM UTC [DEBUG] Not sending node to KCIDB: 66edb65e941d4502eadb52e1 09/22/2024 05:16:40 PM UTC [INFO] Received an event for node: 66f05032bca8c035cac2c18c 09/22/2024 05:16:52 PM UTC [INFO] Received an event for node: 66f05104bca8c035cac2c195 09/22/2024 05:16:52 PM UTC [DEBUG] Not sending node to KCIDB: 66f05104bca8c035cac2c195 09/22/2024 05:16:52 PM UTC [INFO] Received an event for node: 66f05032bca8c035cac2c18d 09/22/2024 05:17:01 PM UTC [INFO] Received an event for node: 66ecec19dc59355e51616d03 09/22/2024 05:17:01 PM UTC [DEBUG] Not sending node to KCIDB: 66ecec19dc59355e51616d03 09/22/2024 05:17:01 PM UTC [INFO] Received an event for node: 66f05049bca8c035cac2c18e 09/22/2024 05:17:13 PM UTC [INFO] Received an event for node: 66ecec1adc59355e51616d04 09/22/2024 05:17:13 PM UTC [DEBUG] Not sending node to KCIDB: 66ecec1adc59355e51616d04 09/22/2024 05:17:13 PM UTC [INFO] Received an event for node: 66f05049bca8c035cac2c18f 09/22/2024 05:17:25 PM UTC [INFO] Received an event for node: 66ecec1adc59355e51616d05 09/22/2024 05:17:25 PM UTC [DEBUG] Not sending node to KCIDB: 66ecec1adc59355e51616d05 09/22/2024 05:17:25 PM UTC [INFO] Received an event for node: 66f05049bca8c035cac2c190 09/22/2024 05:25:21 PM UTC [INFO] Received an event for node: 66f04aa78f2c39d354f31a9f 09/22/2024 05:25:22 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0435a8f2c39d354f2f784', 'id': 'maestro:66f04aa78f2c39d354f31a9f', 'origin': 'maestro', 'comment': 'baseline-arm64 on rk3399-gru-kevin in lava-collabora', 'start_time': '2024-09-22T16:49:43.956000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,kevin-rev15', 'google,kevin-rev14'], 'misc': {'platform': 'rk3399-gru-kevin', 'job_id': '15759313', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759313'}}, 'waived': False, 'path': 'boot', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'Infrastructure', 'error_msg': 'depthcharge-start timed out after 1191 seconds'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-66f04aa78f2c39d354f31a9f/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-66f04aa78f2c39d354f31a9f/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': "U_CLUSTER: 0 resource base 0 size f8000000 align 0 gran 0 limit 0 flags e0004200 index 0\nDone allocating resources.\nBS: BS_DEV_RESOURCES times (us): entry 1 run 69862 exit 0\nEnabling resources...\ndone.\nBS: BS_DEV_ENABLE times (us): entry 1 run 2621 exit 1\nInitializing devices...\nRoot Device init ...\nADC reading 580, ID 8\nDWC3 and TCPHY setup for USB OTG0 finished\nout: cmd=0x101: 03 f4 01 01 00 00 04 00 00 03 00 00\nin-header: 03 ef 00 00 04 00 00 00\nin-data: 01 00 00 09\nout: cmd=0x101: 03 f6 01 01 00 00 04 00 00 01 00 00\nin-header: 03 ef 00 00 04 00 00 00\nin-data: 01 00 00 09\nDWC3 and TCPHY setup for USB OTG1 finished\nout: cmd=0x101: 03 f3 01 01 00 00 04 00 01 03 00 00\nin-header: 03 f5 00 00 04 00 00 00\nin-data: 01 00 01 02\nout: cmd=0x101: 03 f5 01 01 00 00 04 00 01 01 00 00\nin-header: 03 f5 00 00 04 00 00 00\nin-data: 01 00 01 02\nRoot Device init finished in 82516 usecs\nCPU_CLUSTER: 0 init ...\nAttempting to set up EDP display.\nExtracted contents:\nheader: 00 ff ff ff ff ff ff 00\nserial number: 4d 10 71 14 00 00 00 00 24 1a\nversion: 01 04\nbasic params: a5 1a 11 78 06\nchroma info: de 50 a3 54 4c 99 26 0f 50 54\nestablished: 00 00 00\nstandard: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01\ndescriptor 1: bb 62 60 a0 90 40 2e 60 30 20 3a 00 03 ad 10 00 00 18\ndescriptor 2: 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00\ndescriptor 3: 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00\ndescriptor 4: 00 00 00 fc 00 4c 51 31 32 33 50 31 4a 58 33 31 0a 20\nextensions: 00\nchecksum: c3\nManufacturer: SHP Model 1471 Serial Number 0\nMade week 36 of 2016\nEDID version: 1.4\nDigital display\n8 bits per primary color channel\nDisplayPort interface\nMaximum image size: 26 cm x 17 cm\nGamma: 220%\nCheck DPMS levels\nSupported color formats: RGB 4:4:4\nDefault (sRGB) color space is primary color space\nFirst detailed timing is preferred timing\nEstablished timings supported:\nStandard timings supported:\nDetailed timings\nHex of detail: bb6260a090402e6030203a0003ad10000018\nDetailed mode (IN HEX): Clock 252750 KHz, 103 mm x ad mm\n0960 0990 09b0 0a00 hborder 0\n0640 0643 064d 066e vborder 0\n-hsync -vsync\nDid detailed timing\nHex of detail: 000000100000000000000000000000000000\nDummy block\nHex of detail: 000000100000000000000000000000000000\nDummy block\nHex of detail: 000000fc004c5131323350314a5833310a20\nMonitor name: LQ123P1JX31\nChecksum\nChecksum: 0xc3 (valid)\nPLL at 00000000ff7600c0: fbdiv=337, refdiv=8, postdiv1=4, postdiv2=1, vco=1011000 khz, output=252750 khz\nclock recovery at voltage 0 pre-emphasis 0\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 3.5dB\nusing signal parameters: voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 6dB\nusing signal parameters: voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 0dB\nusing signal parameters: voltage 0.4V pre_emph 0dB\nchannel eq at voltage 0 pre-emphasis 0\nPLL at 00000000ff760020: fbdiv=75, refdiv=1, postdiv1=3, postdiv2=1, vco=1800000 khz, output=600000 khz\nCPU_CLUSTER: 0 init finished in 266169 usecs\nDevices initialized\nShow all devs... After init.\nRoot Device: enabled 1\nCPU_CLUSTER: 0: enabled 1\nBS: BS_DEV_INIT times (us): entry 0 run 367398 exit 1\nFMAP: area RW_ELOG found @ 5d8000 (4096 bytes)\nELOG: NV offset 0x5d8000 size 0x1000\nELOG: area is 4096 bytes, full threshold 3842, shrink size 1024\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 a1 00 00 04 00 00 00\nin-data: b6 4c f0 66\nELOG: Event(17) added with size 13\nout: cmd=0x87: 03 69 87 00 00 00 04 00 09 00 00 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nout: cmd=0x87: 03 f2 87 00 00 00 04 00 80 00 00 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 a1 00 00 04 00 00 00\nin-data: b6 4c f0 66\nELOG: Event(A1) added with size 10\nelog_add_boot_reason: Logged recovery mode boot (Dev-switch on), reason: 0x02\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 a1 00 00 04 00 00 00\nin-data: b6 4c f0 66\nELOG: Event(A0) added with size 9\nelog_add_boot_reason: Logged dev mode boot\nFinalize devices...\nDevices finalized\nBS: BS_POST_DEVICE times (us): entry 83061 run 3487 exit 1\nBS: BS_OS_RESUME_CHECK times (us): entry 0 run 2 exit 0\nWriting coreboot table at 0xf7eda000\n0. 0000000000000000-00000000000fffff: RESERVED\n1. 0000000000100000-00000000f7ed9fff: RAM\n2. 00000000f7eda000-00000000f7ffffff: CONFIGURATION TABLES\nout: cmd=0x87: 03 72 87 00 00 00 04 00 c0 34 0c 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nPassing 6 GPIOs to payload:\nNAME | PORT | POLARITY | VALUE\nwrite protect | 0x00120001 | high | high\nrecovery | undefined | high | low\nbacklight | 0x00110001 | high | undefined\nEC in RW | 0x00080003 | high | undefined\nEC interrupt | 0x00010000 | low | undefined\nreset | 0x000b0000 | high | undefined\nADC reading 62, ID 0\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nWrote coreboot table at: 00000000f7eda000, 0x300 bytes, checksum 99e2\ncoreboot table: 792 bytes.\nIMD ROOT 0. 00000000f7fff000 00001000\nIMD SMALL 1. 00000000f7ffe000 00001000\nCONSOLE 2. 00000000f7fde000 00020000\nTIME STAMP 3. 00000000f7fdd000 00000400\nVBOOT 4. 00000000f7fdc000 00000c0c\nRAMOOPS 5. 00000000f7edc000 00100000\nCOREBOOT 6. 00000000f7eda000 00002000\nIMD small region:\nIMD ROOT 0. 00000000f7ffec00 00000400\nVBOOT SEL 1. 00000000f7ffebe0 00000008\nBS: BS_WRITE_TABLES times (us): entry 1 run 116959 exit 1\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nCBFS: Locating 'fallback/payload'\nCBFS: Found @ offset 2828c0 size 1ae72\nLoading segment from ROM address 0x0000000000100000\ncode (compression=1)\nNew segment dstaddr 0x40104800 memsize 0x1194d80 srcaddr 0x100038 filesize 0x1ae3a\nLoading segment from ROM address 0x000000000010001c\nEntry Point 0x0000000040104800\nLoading Segment: addr: 0x0000000040104800 memsz: 0x0000000001194d80 filesz: 0x000000000001ae3a\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x0000000040104800 memsz: 0x0000000001194d80 filesz: 0x000000000001ae3a\nusing LZMA\n[ 0x40104800, 40144ca8, 0x41299580) <- 00100038\nClearing Segment: addr: 0x0000000040144ca8 memsz: 0x00000000011548d8\ndest 0000000040104800, end 0000000041299580, bouncebuffer ffffffffffffffff\nLoaded segments\nBS: BS_PAYLOAD_LOAD times (us): entry 1 run 132602 exit 1\nJumping to boot code at 0000000040104800(00000000f7eda000)\nCPU0: stack: 00000000ff8ec000 - 00000000ff8f0000, lowest used address 00000000ff8eeff0, stack used: 4112 bytes\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nCBFS: Locating 'fallback/bl31'\nCBFS: Found @ offset 11200 size 8bce\nLoading segment from ROM address 0x0000000000100000\ncode (compression=1)\nNew segment dstaddr 0x0 memsize 0x4f000 srcaddr 0x100070 filesize 0x804b\nLoading segment from ROM address 0x000000000010001c\ndata (compression=1)\nNew segment dstaddr 0xff8c0000 memsize 0x1000 srcaddr 0x1080bb filesize 0x152\nLoading segment from ROM address 0x0000000000100038\ncode (compression=1)\nNew segment dstaddr 0xff8c1000 memsize 0x4000 srcaddr 0x10820d filesize 0x9c1\nLoading segment from ROM address 0x0000000000100054\nEntry Point 0x0000000000001000\nLoading Segment: addr: 0x0000000000000000 memsz: 0x000000000004f000 filesz: 0x000000000000804b\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x0000000000000000 memsz: 0x000000000004f000 filesz: 0x000000000000804b\nusing LZMA\n[ 0x00000000, 00035098, 0x0004f000) <- 00100070\nClearing Segment: addr: 0x0000000000035098 memsz: 0x0000000000019f68\ndest 0000000000000000, end 000000000004f000, bouncebuffer ffffffffffffffff\nLoading Segment: addr: 0x00000000ff8c0000 memsz: 0x0000000000001000 filesz: 0x0000000000000152\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x00000000ff8c0000 memsz: 0x0000000000001000 filesz: 0x0000000000000152\nusing LZMA\n[ 0xff8c0000, ff8c1000, 0xff8c1000) <- 001080bb\ndest 00000000ff8c0000, end 00000000ff8c1000, bouncebuffer ffffffffffffffff\nLoading Segment: addr: 0x00000000ff8c1000 memsz: 0x0000000000004000 filesz: 0x00000000000009c1\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x00000000ff8c1000 memsz: 0x0000000000004000 filesz: 0x00000000000009c1\nusing LZMA\n[ 0xff8c1000, ff8c5000, 0xff8c5000) <- 0010820d\ndest 00000000ff8c1000, end 00000000ff8c5000, bouncebuffer ffffffffffffffff\nLoaded segments\nNOTICE: BL31: v1.2(debug):0f202a3\nNOTICE: BL31: Built : Fri Feb 9 21:48:12 UTC 2018\nINFO: GICv3 with legacy support detected. ARM GICV3 driver initialized in EL3\nINFO: plat_rockchip_pmu_init(1336): pd status 3e\nINFO: BL31: Initializing runtime services\nINFO: BL31: Preparing for EL3 exit to normal world\nINFO: Entry point address = 0x40104800\nINFO: SPSR = 0x8\nStarting depthcharge on kevin...\n[firmware-gru-8785.B-collabora] Feb 1 2021 12:35:55\nkevin: tftpboot 192.168.201.1 15759313/tftp-deploy-zfmboh7o/kernel/image.itb 15759313/tftp-deploy-zfmboh7o/kernel/cmdline\nWaiting for link\nInitializing XHCI USB controller at 0xfe900000.\nInitializing XHCI USB controller at 0xfe800000.\nAdding net device\ndone.\nMAC: 68:7f:74:b5:7e:39\nSending DHCP discover... done.\nWaiting for reply... done.\nSending DHCP request... done.\nWaiting for reply... done.\nMy ip is 192.168.201.11\nThe DHCP server ip is 192.168.201.1\nTFTP server IP predefined by user: 192.168.201.1\nBootfile predefined by user: 15759313/tftp-deploy-zfmboh7o/kernel/image.itb\nSending tftp read request... done.\nWaiting for the transfer...\n00000000 ################################################################\n00080000 ################################################################\n00100000 ################################################################\n00180000 ################################################################\n00200000 ################################################################\n00280000 ################################################################\n00300000 ################################################################\n00380000 ################################################################\n00400000 ################################################################\n00480000 ################################################################\n00500000 ################################################################\n00580000 ################################################################\n00600000 ################################################################\n00680000 ################################################################\n00700000 ################################################################\n00780000 ################################################################\n00800000 ################################################################\n00880000 ################################################################\n00900000 ################################################################\n00980000 ################################################################\n00a00000 ################################################################\n00a80000 ################################################################\n00b00000 ################################################################\n00b80000 ################################################################\n00c00000 ################################################################\n00c80000 ################################################################\n00d00000 ################################################################\n00d80000 ################################################################\n00e00000 ################################################################\n00e80000 ################################################################\n00f00000 ################################################################\n00f80000 ################################################################\n01000000 ################################################################\n01080000 ################################################################\n01100000 ################################################################\n01180000 ################################################################\n01200000 ################################################################\n01280000 ################################################################\n01300000 ################################################################\n01380000 ################################################################\n01400000 ################################################################\n01480000 ################################################################\n01500000 ################################################################\n01580000 ################################################################\n01600000 ################################################################\n01680000 ################################################################\n01700000 ################################################################\n01780000 ################################################################\n01800000 ################################################################\n01880000 ################################################################\n01900000 ################################################################\n01980000 ################################################################\n01a00000 ################################################################\n01a80000 ################################################################\n01b00000 ################################################################\n01b80000 ################################################################\n01c00000 ################################################################\n01c80000 ################################################################\n01d00000 ################################################################\n01d80000 ################################################################\n01e00000 ################################################################\n01e80000 ################################################################\n01f00000 ################################################################\n01f80000 ################################################################\n02000000 ################################################################\n02080000 ################################################################\n02100000 ################################################################\n02180000 ################################################################\n02200000 ################################################################\n02280000 ################## done.\nThe bootfile was 36319150 bytes long.\nSending tftp read request... done.\nWaiting for the transfer...\n00000000 # done.\nCommand line loaded dynamically from TFTP file: 15759313/tftp-deploy-zfmboh7o/kernel/cmdline\nThe command line is: earlyprintk=ttyS2,115200n8 console=tty1 console=ttyS2,115200n8 root=/dev/ram0 ip=dhcp tftpserverip=192.168.201.1\nLoading FIT.\nImage ramdisk-1 has 26019581 bytes.\nImage fdt-1 has 70675 bytes.\nImage kernel-1 has 10226881 bytes.\nCompat preference: google,kevin-rev8\nConfig conf-1 (default), kernel kernel-1, fdt fdt-1, ramdisk ramdisk-1, compat google,kevin-rev15 google,kevin-rev14 google,kevin-rev13 google,kevin-rev12 google,kevin-rev11 google,kevin-rev10 google,kevin-rev9 google,kevin-rev8 (match) google,kevin-rev7 google,kevin-rev6 google,kevin google,gru rockchip,rk3399\nChoosing best match conf-1.\nShutting down all USB controllers.\nRemoving current net device\nExiting depthcharge with code 4 at timestamp: 22065284\nDecompressing LZMA kernel to 0x200000\njumping to kernel\n[Enter `^Ec?' for help]\n�?ǀ�\n"}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:25:22 PM UTC [INFO] Received an event for node: 66ef21b71b32192149fa8af7 09/22/2024 05:25:22 PM UTC [DEBUG] Not sending node to KCIDB: 66ef21b71b32192149fa8af7 09/22/2024 05:25:22 PM UTC [INFO] Received an event for node: 66f04aa78f2c39d354f31a9f 09/22/2024 05:25:22 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0435a8f2c39d354f2f784', 'id': 'maestro:66f04aa78f2c39d354f31a9f', 'origin': 'maestro', 'comment': 'baseline-arm64 on rk3399-gru-kevin in lava-collabora', 'start_time': '2024-09-22T16:49:43.956000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,kevin-rev15', 'google,kevin-rev14'], 'misc': {'platform': 'rk3399-gru-kevin', 'job_id': '15759313', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759313'}}, 'waived': False, 'path': 'boot', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'Infrastructure', 'error_msg': 'depthcharge-start timed out after 1191 seconds'}, 'status': 'FAIL', 'output_files': [{'name': 'callback_data', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-66f04aa78f2c39d354f31a9f/lava_callback.json.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/baseline-arm64-66f04aa78f2c39d354f31a9f/log.txt.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': "U_CLUSTER: 0 resource base 0 size f8000000 align 0 gran 0 limit 0 flags e0004200 index 0\nDone allocating resources.\nBS: BS_DEV_RESOURCES times (us): entry 1 run 69862 exit 0\nEnabling resources...\ndone.\nBS: BS_DEV_ENABLE times (us): entry 1 run 2621 exit 1\nInitializing devices...\nRoot Device init ...\nADC reading 580, ID 8\nDWC3 and TCPHY setup for USB OTG0 finished\nout: cmd=0x101: 03 f4 01 01 00 00 04 00 00 03 00 00\nin-header: 03 ef 00 00 04 00 00 00\nin-data: 01 00 00 09\nout: cmd=0x101: 03 f6 01 01 00 00 04 00 00 01 00 00\nin-header: 03 ef 00 00 04 00 00 00\nin-data: 01 00 00 09\nDWC3 and TCPHY setup for USB OTG1 finished\nout: cmd=0x101: 03 f3 01 01 00 00 04 00 01 03 00 00\nin-header: 03 f5 00 00 04 00 00 00\nin-data: 01 00 01 02\nout: cmd=0x101: 03 f5 01 01 00 00 04 00 01 01 00 00\nin-header: 03 f5 00 00 04 00 00 00\nin-data: 01 00 01 02\nRoot Device init finished in 82516 usecs\nCPU_CLUSTER: 0 init ...\nAttempting to set up EDP display.\nExtracted contents:\nheader: 00 ff ff ff ff ff ff 00\nserial number: 4d 10 71 14 00 00 00 00 24 1a\nversion: 01 04\nbasic params: a5 1a 11 78 06\nchroma info: de 50 a3 54 4c 99 26 0f 50 54\nestablished: 00 00 00\nstandard: 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01\ndescriptor 1: bb 62 60 a0 90 40 2e 60 30 20 3a 00 03 ad 10 00 00 18\ndescriptor 2: 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00\ndescriptor 3: 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00\ndescriptor 4: 00 00 00 fc 00 4c 51 31 32 33 50 31 4a 58 33 31 0a 20\nextensions: 00\nchecksum: c3\nManufacturer: SHP Model 1471 Serial Number 0\nMade week 36 of 2016\nEDID version: 1.4\nDigital display\n8 bits per primary color channel\nDisplayPort interface\nMaximum image size: 26 cm x 17 cm\nGamma: 220%\nCheck DPMS levels\nSupported color formats: RGB 4:4:4\nDefault (sRGB) color space is primary color space\nFirst detailed timing is preferred timing\nEstablished timings supported:\nStandard timings supported:\nDetailed timings\nHex of detail: bb6260a090402e6030203a0003ad10000018\nDetailed mode (IN HEX): Clock 252750 KHz, 103 mm x ad mm\n0960 0990 09b0 0a00 hborder 0\n0640 0643 064d 066e vborder 0\n-hsync -vsync\nDid detailed timing\nHex of detail: 000000100000000000000000000000000000\nDummy block\nHex of detail: 000000100000000000000000000000000000\nDummy block\nHex of detail: 000000fc004c5131323350314a5833310a20\nMonitor name: LQ123P1JX31\nChecksum\nChecksum: 0xc3 (valid)\nPLL at 00000000ff7600c0: fbdiv=337, refdiv=8, postdiv1=4, postdiv2=1, vco=1011000 khz, output=252750 khz\nclock recovery at voltage 0 pre-emphasis 0\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 3.5dB\nusing signal parameters: voltage 0.4V pre_emph 3.5dB\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 6dB\nusing signal parameters: voltage 0.4V pre_emph 6dB\nrequested signal parameters: lane 0 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 1 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 2 voltage 0.4V pre_emph 0dB\nrequested signal parameters: lane 3 voltage 0.4V pre_emph 0dB\nusing signal parameters: voltage 0.4V pre_emph 0dB\nchannel eq at voltage 0 pre-emphasis 0\nPLL at 00000000ff760020: fbdiv=75, refdiv=1, postdiv1=3, postdiv2=1, vco=1800000 khz, output=600000 khz\nCPU_CLUSTER: 0 init finished in 266169 usecs\nDevices initialized\nShow all devs... After init.\nRoot Device: enabled 1\nCPU_CLUSTER: 0: enabled 1\nBS: BS_DEV_INIT times (us): entry 0 run 367398 exit 1\nFMAP: area RW_ELOG found @ 5d8000 (4096 bytes)\nELOG: NV offset 0x5d8000 size 0x1000\nELOG: area is 4096 bytes, full threshold 3842, shrink size 1024\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 a1 00 00 04 00 00 00\nin-data: b6 4c f0 66\nELOG: Event(17) added with size 13\nout: cmd=0x87: 03 69 87 00 00 00 04 00 09 00 00 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nout: cmd=0x87: 03 f2 87 00 00 00 04 00 80 00 00 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 a1 00 00 04 00 00 00\nin-data: b6 4c f0 66\nELOG: Event(A1) added with size 10\nelog_add_boot_reason: Logged recovery mode boot (Dev-switch on), reason: 0x02\nout: cmd=0x44: 03 b9 44 00 00 00 00 00\nin-header: 03 a1 00 00 04 00 00 00\nin-data: b6 4c f0 66\nELOG: Event(A0) added with size 9\nelog_add_boot_reason: Logged dev mode boot\nFinalize devices...\nDevices finalized\nBS: BS_POST_DEVICE times (us): entry 83061 run 3487 exit 1\nBS: BS_OS_RESUME_CHECK times (us): entry 0 run 2 exit 0\nWriting coreboot table at 0xf7eda000\n0. 0000000000000000-00000000000fffff: RESERVED\n1. 0000000000100000-00000000f7ed9fff: RAM\n2. 00000000f7eda000-00000000f7ffffff: CONFIGURATION TABLES\nout: cmd=0x87: 03 72 87 00 00 00 04 00 c0 34 0c 00\nin-header: 03 ad 00 00 04 00 00 00\nin-data: 84 60 60 08\nPassing 6 GPIOs to payload:\nNAME | PORT | POLARITY | VALUE\nwrite protect | 0x00120001 | high | high\nrecovery | undefined | high | low\nbacklight | 0x00110001 | high | undefined\nEC in RW | 0x00080003 | high | undefined\nEC interrupt | 0x00010000 | low | undefined\nreset | 0x000b0000 | high | undefined\nADC reading 62, ID 0\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nWrote coreboot table at: 00000000f7eda000, 0x300 bytes, checksum 99e2\ncoreboot table: 792 bytes.\nIMD ROOT 0. 00000000f7fff000 00001000\nIMD SMALL 1. 00000000f7ffe000 00001000\nCONSOLE 2. 00000000f7fde000 00020000\nTIME STAMP 3. 00000000f7fdd000 00000400\nVBOOT 4. 00000000f7fdc000 00000c0c\nRAMOOPS 5. 00000000f7edc000 00100000\nCOREBOOT 6. 00000000f7eda000 00002000\nIMD small region:\nIMD ROOT 0. 00000000f7ffec00 00000400\nVBOOT SEL 1. 00000000f7ffebe0 00000008\nBS: BS_WRITE_TABLES times (us): entry 1 run 116959 exit 1\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nCBFS: Locating 'fallback/payload'\nCBFS: Found @ offset 2828c0 size 1ae72\nLoading segment from ROM address 0x0000000000100000\ncode (compression=1)\nNew segment dstaddr 0x40104800 memsize 0x1194d80 srcaddr 0x100038 filesize 0x1ae3a\nLoading segment from ROM address 0x000000000010001c\nEntry Point 0x0000000040104800\nLoading Segment: addr: 0x0000000040104800 memsz: 0x0000000001194d80 filesz: 0x000000000001ae3a\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x0000000040104800 memsz: 0x0000000001194d80 filesz: 0x000000000001ae3a\nusing LZMA\n[ 0x40104800, 40144ca8, 0x41299580) <- 00100038\nClearing Segment: addr: 0x0000000040144ca8 memsz: 0x00000000011548d8\ndest 0000000040104800, end 0000000041299580, bouncebuffer ffffffffffffffff\nLoaded segments\nBS: BS_PAYLOAD_LOAD times (us): entry 1 run 132602 exit 1\nJumping to boot code at 0000000040104800(00000000f7eda000)\nCPU0: stack: 00000000ff8ec000 - 00000000ff8f0000, lowest used address 00000000ff8eeff0, stack used: 4112 bytes\nCBFS @ 20000 size 2e0000\nCBFS: 'Master Header Locator' located CBFS at [20000:300000)\nCBFS: Locating 'fallback/bl31'\nCBFS: Found @ offset 11200 size 8bce\nLoading segment from ROM address 0x0000000000100000\ncode (compression=1)\nNew segment dstaddr 0x0 memsize 0x4f000 srcaddr 0x100070 filesize 0x804b\nLoading segment from ROM address 0x000000000010001c\ndata (compression=1)\nNew segment dstaddr 0xff8c0000 memsize 0x1000 srcaddr 0x1080bb filesize 0x152\nLoading segment from ROM address 0x0000000000100038\ncode (compression=1)\nNew segment dstaddr 0xff8c1000 memsize 0x4000 srcaddr 0x10820d filesize 0x9c1\nLoading segment from ROM address 0x0000000000100054\nEntry Point 0x0000000000001000\nLoading Segment: addr: 0x0000000000000000 memsz: 0x000000000004f000 filesz: 0x000000000000804b\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x0000000000000000 memsz: 0x000000000004f000 filesz: 0x000000000000804b\nusing LZMA\n[ 0x00000000, 00035098, 0x0004f000) <- 00100070\nClearing Segment: addr: 0x0000000000035098 memsz: 0x0000000000019f68\ndest 0000000000000000, end 000000000004f000, bouncebuffer ffffffffffffffff\nLoading Segment: addr: 0x00000000ff8c0000 memsz: 0x0000000000001000 filesz: 0x0000000000000152\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x00000000ff8c0000 memsz: 0x0000000000001000 filesz: 0x0000000000000152\nusing LZMA\n[ 0xff8c0000, ff8c1000, 0xff8c1000) <- 001080bb\ndest 00000000ff8c0000, end 00000000ff8c1000, bouncebuffer ffffffffffffffff\nLoading Segment: addr: 0x00000000ff8c1000 memsz: 0x0000000000004000 filesz: 0x00000000000009c1\nlb: [0x0000000000300000, 0x000000000031fc48)\nPost relocation: addr: 0x00000000ff8c1000 memsz: 0x0000000000004000 filesz: 0x00000000000009c1\nusing LZMA\n[ 0xff8c1000, ff8c5000, 0xff8c5000) <- 0010820d\ndest 00000000ff8c1000, end 00000000ff8c5000, bouncebuffer ffffffffffffffff\nLoaded segments\nNOTICE: BL31: v1.2(debug):0f202a3\nNOTICE: BL31: Built : Fri Feb 9 21:48:12 UTC 2018\nINFO: GICv3 with legacy support detected. ARM GICV3 driver initialized in EL3\nINFO: plat_rockchip_pmu_init(1336): pd status 3e\nINFO: BL31: Initializing runtime services\nINFO: BL31: Preparing for EL3 exit to normal world\nINFO: Entry point address = 0x40104800\nINFO: SPSR = 0x8\nStarting depthcharge on kevin...\n[firmware-gru-8785.B-collabora] Feb 1 2021 12:35:55\nkevin: tftpboot 192.168.201.1 15759313/tftp-deploy-zfmboh7o/kernel/image.itb 15759313/tftp-deploy-zfmboh7o/kernel/cmdline\nWaiting for link\nInitializing XHCI USB controller at 0xfe900000.\nInitializing XHCI USB controller at 0xfe800000.\nAdding net device\ndone.\nMAC: 68:7f:74:b5:7e:39\nSending DHCP discover... done.\nWaiting for reply... done.\nSending DHCP request... done.\nWaiting for reply... done.\nMy ip is 192.168.201.11\nThe DHCP server ip is 192.168.201.1\nTFTP server IP predefined by user: 192.168.201.1\nBootfile predefined by user: 15759313/tftp-deploy-zfmboh7o/kernel/image.itb\nSending tftp read request... done.\nWaiting for the transfer...\n00000000 ################################################################\n00080000 ################################################################\n00100000 ################################################################\n00180000 ################################################################\n00200000 ################################################################\n00280000 ################################################################\n00300000 ################################################################\n00380000 ################################################################\n00400000 ################################################################\n00480000 ################################################################\n00500000 ################################################################\n00580000 ################################################################\n00600000 ################################################################\n00680000 ################################################################\n00700000 ################################################################\n00780000 ################################################################\n00800000 ################################################################\n00880000 ################################################################\n00900000 ################################################################\n00980000 ################################################################\n00a00000 ################################################################\n00a80000 ################################################################\n00b00000 ################################################################\n00b80000 ################################################################\n00c00000 ################################################################\n00c80000 ################################################################\n00d00000 ################################################################\n00d80000 ################################################################\n00e00000 ################################################################\n00e80000 ################################################################\n00f00000 ################################################################\n00f80000 ################################################################\n01000000 ################################################################\n01080000 ################################################################\n01100000 ################################################################\n01180000 ################################################################\n01200000 ################################################################\n01280000 ################################################################\n01300000 ################################################################\n01380000 ################################################################\n01400000 ################################################################\n01480000 ################################################################\n01500000 ################################################################\n01580000 ################################################################\n01600000 ################################################################\n01680000 ################################################################\n01700000 ################################################################\n01780000 ################################################################\n01800000 ################################################################\n01880000 ################################################################\n01900000 ################################################################\n01980000 ################################################################\n01a00000 ################################################################\n01a80000 ################################################################\n01b00000 ################################################################\n01b80000 ################################################################\n01c00000 ################################################################\n01c80000 ################################################################\n01d00000 ################################################################\n01d80000 ################################################################\n01e00000 ################################################################\n01e80000 ################################################################\n01f00000 ################################################################\n01f80000 ################################################################\n02000000 ################################################################\n02080000 ################################################################\n02100000 ################################################################\n02180000 ################################################################\n02200000 ################################################################\n02280000 ################## done.\nThe bootfile was 36319150 bytes long.\nSending tftp read request... done.\nWaiting for the transfer...\n00000000 # done.\nCommand line loaded dynamically from TFTP file: 15759313/tftp-deploy-zfmboh7o/kernel/cmdline\nThe command line is: earlyprintk=ttyS2,115200n8 console=tty1 console=ttyS2,115200n8 root=/dev/ram0 ip=dhcp tftpserverip=192.168.201.1\nLoading FIT.\nImage ramdisk-1 has 26019581 bytes.\nImage fdt-1 has 70675 bytes.\nImage kernel-1 has 10226881 bytes.\nCompat preference: google,kevin-rev8\nConfig conf-1 (default), kernel kernel-1, fdt fdt-1, ramdisk ramdisk-1, compat google,kevin-rev15 google,kevin-rev14 google,kevin-rev13 google,kevin-rev12 google,kevin-rev11 google,kevin-rev10 google,kevin-rev9 google,kevin-rev8 (match) google,kevin-rev7 google,kevin-rev6 google,kevin google,gru rockchip,rk3399\nChoosing best match conf-1.\nShutting down all USB controllers.\nRemoving current net device\nExiting depthcharge with code 4 at timestamp: 22065284\nDecompressing LZMA kernel to 0x200000\njumping to kernel\n[Enter `^Ec?' for help]\n�?ǀ�\n"}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 05:25:31 PM UTC [INFO] Received an event for node: 66ef21c01b32192149fa8af8 09/22/2024 05:25:31 PM UTC [DEBUG] Not sending node to KCIDB: 66ef21c01b32192149fa8af8 09/22/2024 05:25:31 PM UTC [INFO] Received an event for node: 66f05300bca8c035cac2c196 09/22/2024 05:25:43 PM UTC [INFO] Received an event for node: 66ef21cc1b32192149fa8af9 09/22/2024 05:25:43 PM UTC [DEBUG] Not sending node to KCIDB: 66ef21cc1b32192149fa8af9 09/22/2024 05:25:43 PM UTC [INFO] Received an event for node: 66f05300bca8c035cac2c197 09/22/2024 05:25:54 PM UTC [INFO] Received an event for node: 66ef21d81b32192149fa8afa 09/22/2024 05:25:54 PM UTC [DEBUG] Not sending node to KCIDB: 66ef21d81b32192149fa8afa 09/22/2024 05:25:54 PM UTC [INFO] Received an event for node: 66f05300bca8c035cac2c198 09/22/2024 07:17:54 PM UTC [INFO] Received an event for node: 66f0430c8f2c39d354f2f77a 09/22/2024 07:17:54 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [{'id': 'maestro:66f0430c8f2c39d354f2f77a', 'origin': 'maestro', 'tree_name': 'kernelci', 'git_repository_url': 'https://github.com/kernelci/linux.git', 'git_commit_hash': 'fceced23b5b70a6295155e877a432c4933eb2d67', 'git_commit_name': 'staging-mainline-20240922.0', 'git_repository_branch': 'staging-mainline', 'start_time': '2024-09-22T16:17:16.299000+00:00', 'patchset_hash': '', 'misc': {'submitted_by': 'kernelci-pipeline'}, 'valid': True}], 'builds': [], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/22/2024 07:17:54 PM UTC [INFO] Received an event for node: 66f0436d8f2c39d354f2f792 09/22/2024 07:17:54 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0430c8f2c39d354f2f77a', 'id': 'maestro:66f0436d8f2c39d354f2f792', 'origin': 'maestro', 'comment': 'staging-mainline-20240922.0', 'start_time': '2024-09-22T16:18:53.028000+00:00', 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0436d8f2c39d354f2f792-kbuild-gcc-12-arm64-chro-k2anpu6o', 'job_context': 'aks-kbuild-medium-1'}}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/22/2024 07:17:54 PM UTC [INFO] Received an event for node: 66f0436d8f2c39d354f2f793 09/22/2024 07:17:54 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0430c8f2c39d354f2f77a', 'id': 'maestro:66f0436d8f2c39d354f2f793', 'origin': 'maestro', 'comment': 'staging-mainline-20240922.0', 'start_time': '2024-09-22T16:18:53.555000+00:00', 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0436d8f2c39d354f2f793-kbuild-gcc-12-arm64-chro-g7mivo1y', 'job_context': 'aks-kbuild-medium-1'}}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/22/2024 07:17:55 PM UTC [INFO] Received an event for node: 66f0436e8f2c39d354f2f794 09/22/2024 07:17:55 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0430c8f2c39d354f2f77a', 'id': 'maestro:66f0436e8f2c39d354f2f794', 'origin': 'maestro', 'comment': 'staging-mainline-20240922.0', 'start_time': '2024-09-22T16:18:54.079000+00:00', 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0436e8f2c39d354f2f794-kbuild-gcc-12-x86-chrome-3207nljd', 'job_context': 'aks-kbuild-medium-1'}}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/22/2024 07:17:55 PM UTC [INFO] Received an event for node: 66f0436e8f2c39d354f2f795 09/22/2024 07:17:55 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0430c8f2c39d354f2f77a', 'id': 'maestro:66f0436e8f2c39d354f2f795', 'origin': 'maestro', 'comment': 'staging-mainline-20240922.0', 'start_time': '2024-09-22T16:18:54.621000+00:00', 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0436e8f2c39d354f2f795-kbuild-gcc-12-x86-chrome-wag04yip', 'job_context': 'aks-kbuild-medium-1'}}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/22/2024 10:35:13 PM UTC [INFO] Received an event for node: 66f0473e8f2c39d354f2fa0d 09/22/2024 10:35:13 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f043658f2c39d354f2f78e', 'id': 'maestro:66f0473e8f2c39d354f2fa0d', 'origin': 'maestro', 'comment': 'baseline-x86-kcidebug-intel on dell-latitude-5400-4305U-sarien in lava-collabora', 'start_time': '2024-09-22T16:35:10.099000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'misc': {'platform': 'dell-latitude-5400-4305U-sarien', 'job_id': '15759298', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759298'}}, 'waived': False, 'path': 'boot', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'bzimage', 'arch': 'i386', 'runtime': 'lava-collabora', 'error_code': 'node_timeout', 'error_msg': 'Node timed-out'}, 'status': 'ERROR', 'output_files': [{'name': 'build_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_1_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/fragments/1.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_2_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/fragments/2.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': '_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/bzImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-kcidebug-66f043658f2c39d354f2f78e/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}]}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 10:42:14 PM UTC [INFO] Received an event for node: 66f048aa8f2c39d354f31096 09/22/2024 10:42:14 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f043538f2c39d354f2f77e', 'id': 'maestro:66f048aa8f2c39d354f31096', 'origin': 'maestro', 'comment': 'ltp-dio on bcm2836-rpi-2-b in lava-collabora', 'start_time': '2024-09-22T16:41:14.505000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['raspberrypi,2-model-b', 'brcm,bcm2836'], 'misc': {'platform': 'bcm2836-rpi-2-b', 'job_id': '15759307', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759307'}}, 'waived': False, 'path': 'ltp', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'zimage', 'arch': 'arm', 'runtime': 'lava-collabora', 'error_code': 'node_timeout', 'error_msg': 'Node timed-out'}, 'status': 'ERROR', 'output_files': [{'name': 'build_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/build_dtbs.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/build_dtbs_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': '_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/zImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-66f043538f2c39d354f2f77e/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}]}], 'version': {'major': 4, 'minor': 4}} 09/22/2024 10:57:15 PM UTC [INFO] Received an event for node: 66f04c588f2c39d354f32496 09/22/2024 10:57:15 PM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [], 'tests': [{'build_id': 'maestro:66f0435c8f2c39d354f2f785', 'id': 'maestro:66f04c588f2c39d354f32496', 'origin': 'maestro', 'comment': 'baseline-arm64-kcidebug-mediatek on mt8195-cherry-tomato-r2 in lava-collabora', 'start_time': '2024-09-22T16:56:56.551000+00:00', 'environment': {'comment': 'Runtime: lava-collabora', 'compatible': ['google,tomato-rev2', 'google,tomato', 'mediatek,mt8195'], 'misc': {'platform': 'mt8195-cherry-tomato-r2', 'job_id': '15759384', 'job_url': 'https://lava.collabora.dev/scheduler/job/15759384'}}, 'waived': False, 'path': 'boot', 'misc': {'compiler': 'gcc-12', 'kernel_type': 'image', 'arch': 'arm64', 'runtime': 'lava-collabora', 'error_code': 'node_timeout', 'error_msg': 'Node timed-out'}, 'status': 'ERROR', 'output_files': [{'name': 'build_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/build_dtbs.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/build_dtbs_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_1_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/fragments/1.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_2_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/fragments/2.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_3_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/fragments/3.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': '_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/Image?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm64-chromebook-kcidebug-66f0435c8f2c39d354f2f785/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}]}], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:06:17 AM UTC [INFO] Received an event for node: 66f0b0aabca8c035cac2c199 09/23/2024 12:06:17 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [{'id': 'maestro:66f0b0aabca8c035cac2c199', 'origin': 'maestro', 'tree_name': 'kernelci', 'git_repository_url': 'https://github.com/kernelci/linux.git', 'git_commit_hash': '4abc315acf1a3615bfcb89dba59d07c8ea472c00', 'git_commit_name': 'staging-stable-20240923.0', 'git_repository_branch': 'staging-stable', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'patchset_hash': '', 'misc': {'submitted_by': 'kernelci-pipeline'}}], 'builds': [], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:06:37 AM UTC [INFO] Received an event for node: 66f0b0f9bca8c035cac2c19a 09/23/2024 12:06:37 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'id': 'maestro:dummy_66f0b0aabca8c035cac2c199', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True}], 'tests': [{'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b0f9bca8c035cac2c19a', 'origin': 'maestro', 'comment': 'kver on shell in shell', 'start_time': '2024-09-23T00:06:17.433000+00:00', 'environment': {'comment': 'Runtime: shell', 'misc': {'platform': 'shell', 'job_id': '7'}}, 'waived': False, 'path': 'kernelci_kver', 'misc': {'runtime': 'shell'}, 'status': 'FAIL', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}]}], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:06:39 AM UTC [INFO] Received an event for node: 66ebdcd18e17c924315c14ab 09/23/2024 12:06:39 AM UTC [DEBUG] Not sending node to KCIDB: 66ebdcd18e17c924315c14ab 09/23/2024 12:06:39 AM UTC [INFO] Received an event for node: 66f0b0f9bca8c035cac2c19a 09/23/2024 12:06:39 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'id': 'maestro:dummy_66f0b0aabca8c035cac2c199', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True}], 'tests': [{'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b0f9bca8c035cac2c19a', 'origin': 'maestro', 'comment': 'kver on shell in shell', 'start_time': '2024-09-23T00:06:17.433000+00:00', 'environment': {'comment': 'Runtime: shell', 'misc': {'platform': 'shell', 'job_id': '7'}}, 'waived': False, 'path': 'kernelci_kver', 'misc': {'runtime': 'shell'}, 'status': 'FAIL', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}]}], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:08:51 AM UTC [INFO] Received an event for node: 66f0b108bca8c035cac2c1af 09/23/2024 12:10:27 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}, {'id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'comment': 'Dummy build for tests hanging from checkout', 'origin': 'maestro', 'start_time': '2024-09-23T00:04:58.784000+00:00', 'valid': True, 'architecture': 'x86_64'}], 'tests': [{'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b108bca8c035cac2c1af', 'origin': 'maestro', 'comment': 'kunit-x86_64 on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:06:32.615000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1b5', 'origin': 'maestro', 'comment': 'config on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.config', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1b6', 'origin': 'maestro', 'comment': 'build on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.build', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1b9', 'origin': 'maestro', 'comment': 'time64_to_tm_test_date_range on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.time_test_cases.time64_to_tm_test_date_range', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1bb', 'origin': 'maestro', 'comment': 'test_one_cpu on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hw_breakpoint.test_one_cpu', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1bc', 'origin': 'maestro', 'comment': 'test_many_cpus on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hw_breakpoint.test_many_cpus', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1bd', 'origin': 'maestro', 'comment': 'test_one_task_on_all_cpus on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hw_breakpoint.test_one_task_on_all_cpus', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1be', 'origin': 'maestro', 'comment': 'test_two_tasks_on_all_cpus on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hw_breakpoint.test_two_tasks_on_all_cpus', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1bf', 'origin': 'maestro', 'comment': 'test_one_task_on_one_cpu on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hw_breakpoint.test_one_task_on_one_cpu', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1c0', 'origin': 'maestro', 'comment': 'test_one_task_mixed on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hw_breakpoint.test_one_task_mixed', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1c1', 'origin': 'maestro', 'comment': 'test_two_tasks_on_one_cpu on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hw_breakpoint.test_two_tasks_on_one_cpu', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1c2', 'origin': 'maestro', 'comment': 'test_two_tasks_on_one_all_cpus on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hw_breakpoint.test_two_tasks_on_one_all_cpus', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1c3', 'origin': 'maestro', 'comment': 'test_task_on_all_and_one_cpu on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hw_breakpoint.test_task_on_all_and_one_cpu', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1c5', 'origin': 'maestro', 'comment': 'resource_test_union on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.resource.resource_test_union', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1c6', 'origin': 'maestro', 'comment': 'resource_test_intersection on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.200000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.resource.resource_test_intersection', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1c8', 'origin': 'maestro', 'comment': 'sysctl_test_api_dointvec_null_tbl_data on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.sysctl_test.sysctl_test_api_dointvec_null_tbl_data', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1c9', 'origin': 'maestro', 'comment': 'sysctl_test_api_dointvec_table_maxlen_unset on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.sysctl_test.sysctl_test_api_dointvec_table_maxlen_unset', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1ca', 'origin': 'maestro', 'comment': 'sysctl_test_api_dointvec_table_len_is_zero on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.sysctl_test.sysctl_test_api_dointvec_table_len_is_zero', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1cb', 'origin': 'maestro', 'comment': 'sysctl_test_api_dointvec_table_read_but_position_set on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.sysctl_test.sysctl_test_api_dointvec_table_read_but_position_set', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1cc', 'origin': 'maestro', 'comment': 'sysctl_test_dointvec_read_happy_single_positive on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.sysctl_test.sysctl_test_dointvec_read_happy_single_positive', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1cd', 'origin': 'maestro', 'comment': 'sysctl_test_dointvec_read_happy_single_negative on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.sysctl_test.sysctl_test_dointvec_read_happy_single_negative', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1ce', 'origin': 'maestro', 'comment': 'sysctl_test_dointvec_write_happy_single_positive on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.sysctl_test.sysctl_test_dointvec_write_happy_single_positive', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1cf', 'origin': 'maestro', 'comment': 'sysctl_test_dointvec_write_happy_single_negative on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.sysctl_test.sysctl_test_dointvec_write_happy_single_negative', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1d0', 'origin': 'maestro', 'comment': 'sysctl_test_api_dointvec_write_single_less_int_min on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.sysctl_test.sysctl_test_api_dointvec_write_single_less_int_min', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1d1', 'origin': 'maestro', 'comment': 'sysctl_test_api_dointvec_write_single_greater_int_max on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.sysctl_test.sysctl_test_api_dointvec_write_single_greater_int_max', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1d3', 'origin': 'maestro', 'comment': 'total_mapping_size_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.binfmt_elf.total_mapping_size_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1d5', 'origin': 'maestro', 'comment': 'test_cpumask_weight on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.cpumask.test_cpumask_weight', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1d6', 'origin': 'maestro', 'comment': 'test_cpumask_first on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.cpumask.test_cpumask_first', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1d7', 'origin': 'maestro', 'comment': 'test_cpumask_last on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.cpumask.test_cpumask_last', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1d8', 'origin': 'maestro', 'comment': 'test_cpumask_next on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.cpumask.test_cpumask_next', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1d9', 'origin': 'maestro', 'comment': 'test_cpumask_iterators on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.cpumask.test_cpumask_iterators', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1da', 'origin': 'maestro', 'comment': 'test_cpumask_iterators_builtin on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.201000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.cpumask.test_cpumask_iterators_builtin', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1dc', 'origin': 'maestro', 'comment': 'test_string_or on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hash.test_string_or', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1dd', 'origin': 'maestro', 'comment': 'test_hash_or on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hash.test_hash_or', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1df', 'origin': 'maestro', 'comment': 'list_sort_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list_sort.list_sort_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1e1', 'origin': 'maestro', 'comment': 'test_sort on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.lib_sort.test_sort', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1e3', 'origin': 'maestro', 'comment': 'parse_filter_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit_executor_test.parse_filter_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1e4', 'origin': 'maestro', 'comment': 'filter_suites_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit_executor_test.filter_suites_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1e5', 'origin': 'maestro', 'comment': 'filter_suites_test_glob_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit_executor_test.filter_suites_test_glob_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1e6', 'origin': 'maestro', 'comment': 'filter_suites_to_empty_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit_executor_test.filter_suites_to_empty_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1e8', 'origin': 'maestro', 'comment': 'kunit_test_try_catch_successful_try_no_catch on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-try-catch-test.kunit_test_try_catch_successful_try_no_catch', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1e9', 'origin': 'maestro', 'comment': 'kunit_test_try_catch_unsuccessful_try_does_catch on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-try-catch-test.kunit_test_try_catch_unsuccessful_try_does_catch', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1eb', 'origin': 'maestro', 'comment': 'kunit_resource_test_init_resources on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-resource-test.kunit_resource_test_init_resources', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1ec', 'origin': 'maestro', 'comment': 'kunit_resource_test_alloc_resource on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-resource-test.kunit_resource_test_alloc_resource', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1ed', 'origin': 'maestro', 'comment': 'kunit_resource_test_destroy_resource on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-resource-test.kunit_resource_test_destroy_resource', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1ee', 'origin': 'maestro', 'comment': 'kunit_resource_test_remove_resource on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-resource-test.kunit_resource_test_remove_resource', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1ef', 'origin': 'maestro', 'comment': 'kunit_resource_test_cleanup_resources on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.202000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-resource-test.kunit_resource_test_cleanup_resources', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1f0', 'origin': 'maestro', 'comment': 'kunit_resource_test_proper_free_ordering on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-resource-test.kunit_resource_test_proper_free_ordering', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1f1', 'origin': 'maestro', 'comment': 'kunit_resource_test_static on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-resource-test.kunit_resource_test_static', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1f2', 'origin': 'maestro', 'comment': 'kunit_resource_test_named on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-resource-test.kunit_resource_test_named', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1f4', 'origin': 'maestro', 'comment': 'kunit_log_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit-log-test.kunit_log_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1f6', 'origin': 'maestro', 'comment': 'kunit_status_set_failure_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit_status.kunit_status_set_failure_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1f7', 'origin': 'maestro', 'comment': 'kunit_status_mark_skipped_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.kunit_status.kunit_status_mark_skipped_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1f9', 'origin': 'maestro', 'comment': 'string_stream_test_empty_on_creation on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.string-stream-test.string_stream_test_empty_on_creation', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1fa', 'origin': 'maestro', 'comment': 'string_stream_test_not_empty_after_add on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.string-stream-test.string_stream_test_not_empty_after_add', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1fb', 'origin': 'maestro', 'comment': 'string_stream_test_get_string on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.string-stream-test.string_stream_test_get_string', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1fd', 'origin': 'maestro', 'comment': 'example_simple_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.example.example_simple_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1fe', 'origin': 'maestro', 'comment': 'example_skip_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.example.example_skip_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c1ff', 'origin': 'maestro', 'comment': 'example_mark_skipped_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.example.example_mark_skipped_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c200', 'origin': 'maestro', 'comment': 'example_all_expect_macros_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.example.example_all_expect_macros_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c202', 'origin': 'maestro', 'comment': 'test_bitfields_constants on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.bitfields.test_bitfields_constants', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c203', 'origin': 'maestro', 'comment': 'test_bitfields_variables on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.203000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.bitfields.test_bitfields_variables', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c205', 'origin': 'maestro', 'comment': 'list_test_list_init on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_init', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c206', 'origin': 'maestro', 'comment': 'list_test_list_add on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_add', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c207', 'origin': 'maestro', 'comment': 'list_test_list_add_tail on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_add_tail', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c208', 'origin': 'maestro', 'comment': 'list_test_list_del on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_del', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c209', 'origin': 'maestro', 'comment': 'list_test_list_replace on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_replace', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c20a', 'origin': 'maestro', 'comment': 'list_test_list_replace_init on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_replace_init', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c20b', 'origin': 'maestro', 'comment': 'list_test_list_swap on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_swap', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c20c', 'origin': 'maestro', 'comment': 'list_test_list_del_init on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_del_init', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c20d', 'origin': 'maestro', 'comment': 'list_test_list_del_init_careful on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_del_init_careful', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c20e', 'origin': 'maestro', 'comment': 'list_test_list_move on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_move', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c20f', 'origin': 'maestro', 'comment': 'list_test_list_move_tail on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_move_tail', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c210', 'origin': 'maestro', 'comment': 'list_test_list_bulk_move_tail on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_bulk_move_tail', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c211', 'origin': 'maestro', 'comment': 'list_test_list_is_head on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_is_head', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c212', 'origin': 'maestro', 'comment': 'list_test_list_is_first on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_is_first', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c213', 'origin': 'maestro', 'comment': 'list_test_list_is_last on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_is_last', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c214', 'origin': 'maestro', 'comment': 'list_test_list_empty on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_empty', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c215', 'origin': 'maestro', 'comment': 'list_test_list_empty_careful on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_empty_careful', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c216', 'origin': 'maestro', 'comment': 'list_test_list_rotate_left on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_rotate_left', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c217', 'origin': 'maestro', 'comment': 'list_test_list_rotate_to_front on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.204000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_rotate_to_front', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c218', 'origin': 'maestro', 'comment': 'list_test_list_is_singular on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_is_singular', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c219', 'origin': 'maestro', 'comment': 'list_test_list_cut_position on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_cut_position', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c21a', 'origin': 'maestro', 'comment': 'list_test_list_cut_before on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_cut_before', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c21b', 'origin': 'maestro', 'comment': 'list_test_list_splice on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_splice', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c21c', 'origin': 'maestro', 'comment': 'list_test_list_splice_tail on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_splice_tail', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c21d', 'origin': 'maestro', 'comment': 'list_test_list_splice_init on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_splice_init', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c21e', 'origin': 'maestro', 'comment': 'list_test_list_splice_tail_init on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_splice_tail_init', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c21f', 'origin': 'maestro', 'comment': 'list_test_list_entry on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_entry', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c220', 'origin': 'maestro', 'comment': 'list_test_list_entry_is_head on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_entry_is_head', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c221', 'origin': 'maestro', 'comment': 'list_test_list_first_entry on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_first_entry', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c222', 'origin': 'maestro', 'comment': 'list_test_list_last_entry on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_last_entry', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c223', 'origin': 'maestro', 'comment': 'list_test_list_first_entry_or_null on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_first_entry_or_null', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c224', 'origin': 'maestro', 'comment': 'list_test_list_next_entry on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_next_entry', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c225', 'origin': 'maestro', 'comment': 'list_test_list_prev_entry on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_prev_entry', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c226', 'origin': 'maestro', 'comment': 'list_test_list_for_each on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_for_each', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c227', 'origin': 'maestro', 'comment': 'list_test_list_for_each_prev on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_for_each_prev', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c228', 'origin': 'maestro', 'comment': 'list_test_list_for_each_safe on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_for_each_safe', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c229', 'origin': 'maestro', 'comment': 'list_test_list_for_each_prev_safe on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_for_each_prev_safe', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c22a', 'origin': 'maestro', 'comment': 'list_test_list_for_each_entry on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_for_each_entry', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c22b', 'origin': 'maestro', 'comment': 'list_test_list_for_each_entry_reverse on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.205000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.list-kunit-test.list_test_list_for_each_entry_reverse', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c22d', 'origin': 'maestro', 'comment': 'hlist_test_init on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_init', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c22e', 'origin': 'maestro', 'comment': 'hlist_test_unhashed on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_unhashed', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c22f', 'origin': 'maestro', 'comment': 'hlist_test_unhashed_lockless on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_unhashed_lockless', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c230', 'origin': 'maestro', 'comment': 'hlist_test_del on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_del', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c231', 'origin': 'maestro', 'comment': 'hlist_test_del_init on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_del_init', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c232', 'origin': 'maestro', 'comment': 'hlist_test_add on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_add', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c233', 'origin': 'maestro', 'comment': 'hlist_test_fake on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_fake', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c234', 'origin': 'maestro', 'comment': 'hlist_test_is_singular_node on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_is_singular_node', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c235', 'origin': 'maestro', 'comment': 'hlist_test_empty on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_empty', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c236', 'origin': 'maestro', 'comment': 'hlist_test_move_list on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_move_list', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c237', 'origin': 'maestro', 'comment': 'hlist_test_entry on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_entry', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c238', 'origin': 'maestro', 'comment': 'hlist_test_entry_safe on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_entry_safe', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c239', 'origin': 'maestro', 'comment': 'hlist_test_for_each on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_for_each', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c23a', 'origin': 'maestro', 'comment': 'hlist_test_for_each_safe on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_for_each_safe', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c23b', 'origin': 'maestro', 'comment': 'hlist_test_for_each_entry on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_for_each_entry', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c23c', 'origin': 'maestro', 'comment': 'hlist_test_for_each_entry_continue on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_for_each_entry_continue', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c23d', 'origin': 'maestro', 'comment': 'hlist_test_for_each_entry_from on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_for_each_entry_from', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c23e', 'origin': 'maestro', 'comment': 'hlist_test_for_each_entry_safe on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.hlist.hlist_test_for_each_entry_safe', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c240', 'origin': 'maestro', 'comment': 'genmask_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.206000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.bits-test.genmask_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c241', 'origin': 'maestro', 'comment': 'genmask_ull_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.bits-test.genmask_ull_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c242', 'origin': 'maestro', 'comment': 'genmask_input_check_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.bits-test.genmask_input_check_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c244', 'origin': 'maestro', 'comment': 'cmdline_test_noint on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.cmdline.cmdline_test_noint', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c245', 'origin': 'maestro', 'comment': 'cmdline_test_lead_int on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.cmdline.cmdline_test_lead_int', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c246', 'origin': 'maestro', 'comment': 'cmdline_test_tail_int on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.cmdline.cmdline_test_tail_int', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c247', 'origin': 'maestro', 'comment': 'cmdline_test_range on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.cmdline.cmdline_test_range', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c249', 'origin': 'maestro', 'comment': 'test_clobber_zone on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.slub_test.test_clobber_zone', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c24a', 'origin': 'maestro', 'comment': 'test_next_pointer on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.slub_test.test_next_pointer', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c24b', 'origin': 'maestro', 'comment': 'test_first_word on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.slub_test.test_first_word', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c24c', 'origin': 'maestro', 'comment': 'test_clobber_50th_byte on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.slub_test.test_clobber_50th_byte', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c24d', 'origin': 'maestro', 'comment': 'test_clobber_redzone_free on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.207000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.slub_test.test_clobber_redzone_free', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c24f', 'origin': 'maestro', 'comment': 'memset_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.memcpy.memset_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c250', 'origin': 'maestro', 'comment': 'memcpy_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.memcpy.memcpy_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c251', 'origin': 'maestro', 'comment': 'memmove_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.memcpy.memmove_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c252', 'origin': 'maestro', 'comment': 'strtomem_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.memcpy.strtomem_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c254', 'origin': 'maestro', 'comment': 'is_signed_type_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.is_signed_type.is_signed_type_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c256', 'origin': 'maestro', 'comment': 'u8_u8__u8_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.u8_u8__u8_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c257', 'origin': 'maestro', 'comment': 's8_s8__s8_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.s8_s8__s8_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c258', 'origin': 'maestro', 'comment': 'u16_u16__u16_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.u16_u16__u16_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c259', 'origin': 'maestro', 'comment': 's16_s16__s16_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.s16_s16__s16_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c25a', 'origin': 'maestro', 'comment': 'u32_u32__u32_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.u32_u32__u32_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c25b', 'origin': 'maestro', 'comment': 's32_s32__s32_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.s32_s32__s32_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c25c', 'origin': 'maestro', 'comment': 'u64_u64__u64_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.u64_u64__u64_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c25d', 'origin': 'maestro', 'comment': 's64_s64__s64_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.s64_s64__s64_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c25e', 'origin': 'maestro', 'comment': 'u32_u32__int_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.u32_u32__int_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c25f', 'origin': 'maestro', 'comment': 'u32_u32__u8_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.u32_u32__u8_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c260', 'origin': 'maestro', 'comment': 'u8_u8__int_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.u8_u8__int_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c261', 'origin': 'maestro', 'comment': 'int_int__u8_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.208000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.int_int__u8_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c262', 'origin': 'maestro', 'comment': 'shift_sane_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.shift_sane_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c263', 'origin': 'maestro', 'comment': 'shift_overflow_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.shift_overflow_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c264', 'origin': 'maestro', 'comment': 'shift_truncate_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.shift_truncate_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c265', 'origin': 'maestro', 'comment': 'shift_nonsense_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.shift_nonsense_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c266', 'origin': 'maestro', 'comment': 'overflow_allocation_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.overflow_allocation_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c267', 'origin': 'maestro', 'comment': 'overflow_size_helpers_test on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.overflow.overflow_size_helpers_test', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c269', 'origin': 'maestro', 'comment': 'test_u8_zero on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_u8_zero', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c26a', 'origin': 'maestro', 'comment': 'test_u16_zero on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_u16_zero', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c26b', 'origin': 'maestro', 'comment': 'test_u32_zero on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_u32_zero', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c26c', 'origin': 'maestro', 'comment': 'test_u64_zero on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_u64_zero', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c26d', 'origin': 'maestro', 'comment': 'test_char_array_zero on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_char_array_zero', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c26e', 'origin': 'maestro', 'comment': 'test_small_hole_zero on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_zero', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c26f', 'origin': 'maestro', 'comment': 'test_big_hole_zero on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_zero', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c270', 'origin': 'maestro', 'comment': 'test_trailing_hole_zero on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_zero', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c271', 'origin': 'maestro', 'comment': 'test_packed_zero on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_zero', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c272', 'origin': 'maestro', 'comment': 'test_small_hole_dynamic_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_dynamic_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c273', 'origin': 'maestro', 'comment': 'test_big_hole_dynamic_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_dynamic_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c274', 'origin': 'maestro', 'comment': 'test_trailing_hole_dynamic_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_dynamic_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c275', 'origin': 'maestro', 'comment': 'test_packed_dynamic_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.209000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_dynamic_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c276', 'origin': 'maestro', 'comment': 'test_small_hole_assigned_dynamic_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_assigned_dynamic_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c277', 'origin': 'maestro', 'comment': 'test_big_hole_assigned_dynamic_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_assigned_dynamic_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c278', 'origin': 'maestro', 'comment': 'test_trailing_hole_assigned_dynamic_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_assigned_dynamic_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c279', 'origin': 'maestro', 'comment': 'test_packed_assigned_dynamic_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_assigned_dynamic_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c27a', 'origin': 'maestro', 'comment': 'test_small_hole_static_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_static_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c27b', 'origin': 'maestro', 'comment': 'test_big_hole_static_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_static_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c27c', 'origin': 'maestro', 'comment': 'test_trailing_hole_static_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_static_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c27d', 'origin': 'maestro', 'comment': 'test_packed_static_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_static_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c27e', 'origin': 'maestro', 'comment': 'test_small_hole_static_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_static_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c27f', 'origin': 'maestro', 'comment': 'test_big_hole_static_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_static_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c280', 'origin': 'maestro', 'comment': 'test_trailing_hole_static_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_static_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c281', 'origin': 'maestro', 'comment': 'test_packed_static_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_static_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c282', 'origin': 'maestro', 'comment': 'test_small_hole_dynamic_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_dynamic_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c283', 'origin': 'maestro', 'comment': 'test_big_hole_dynamic_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_dynamic_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c284', 'origin': 'maestro', 'comment': 'test_trailing_hole_dynamic_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_dynamic_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c285', 'origin': 'maestro', 'comment': 'test_packed_dynamic_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_dynamic_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c286', 'origin': 'maestro', 'comment': 'test_small_hole_runtime_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_runtime_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c287', 'origin': 'maestro', 'comment': 'test_big_hole_runtime_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_runtime_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c288', 'origin': 'maestro', 'comment': 'test_trailing_hole_runtime_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_runtime_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c289', 'origin': 'maestro', 'comment': 'test_packed_runtime_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_runtime_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c28a', 'origin': 'maestro', 'comment': 'test_small_hole_runtime_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.210000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_runtime_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c28b', 'origin': 'maestro', 'comment': 'test_big_hole_runtime_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_runtime_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c28c', 'origin': 'maestro', 'comment': 'test_trailing_hole_runtime_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_runtime_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c28d', 'origin': 'maestro', 'comment': 'test_packed_runtime_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_runtime_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c28e', 'origin': 'maestro', 'comment': 'test_small_hole_assigned_static_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_assigned_static_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c28f', 'origin': 'maestro', 'comment': 'test_big_hole_assigned_static_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_assigned_static_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c290', 'origin': 'maestro', 'comment': 'test_trailing_hole_assigned_static_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_assigned_static_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c291', 'origin': 'maestro', 'comment': 'test_packed_assigned_static_partial on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_assigned_static_partial', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c292', 'origin': 'maestro', 'comment': 'test_small_hole_assigned_static_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_assigned_static_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c293', 'origin': 'maestro', 'comment': 'test_big_hole_assigned_static_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_assigned_static_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c294', 'origin': 'maestro', 'comment': 'test_trailing_hole_assigned_static_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_assigned_static_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c295', 'origin': 'maestro', 'comment': 'test_packed_assigned_static_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_assigned_static_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c296', 'origin': 'maestro', 'comment': 'test_small_hole_assigned_dynamic_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_assigned_dynamic_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c297', 'origin': 'maestro', 'comment': 'test_big_hole_assigned_dynamic_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_assigned_dynamic_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c298', 'origin': 'maestro', 'comment': 'test_trailing_hole_assigned_dynamic_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_assigned_dynamic_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c299', 'origin': 'maestro', 'comment': 'test_packed_assigned_dynamic_all on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_assigned_dynamic_all', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c29a', 'origin': 'maestro', 'comment': 'test_small_hole_assigned_copy on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_assigned_copy', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c29b', 'origin': 'maestro', 'comment': 'test_big_hole_assigned_copy on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_assigned_copy', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c29c', 'origin': 'maestro', 'comment': 'test_trailing_hole_assigned_copy on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_assigned_copy', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c29d', 'origin': 'maestro', 'comment': 'test_packed_assigned_copy on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_assigned_copy', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c29e', 'origin': 'maestro', 'comment': 'test_u8_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.211000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_u8_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c29f', 'origin': 'maestro', 'comment': 'test_u16_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_u16_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2a0', 'origin': 'maestro', 'comment': 'test_u32_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_u32_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2a1', 'origin': 'maestro', 'comment': 'test_u64_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_u64_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2a2', 'origin': 'maestro', 'comment': 'test_char_array_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_char_array_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2a3', 'origin': 'maestro', 'comment': 'test_switch_1_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_switch_1_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2a4', 'origin': 'maestro', 'comment': 'test_switch_2_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_switch_2_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'SKIP'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2a5', 'origin': 'maestro', 'comment': 'test_small_hole_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_small_hole_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2a6', 'origin': 'maestro', 'comment': 'test_big_hole_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_big_hole_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2a7', 'origin': 'maestro', 'comment': 'test_trailing_hole_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_trailing_hole_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2a8', 'origin': 'maestro', 'comment': 'test_packed_none on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_packed_none', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2a9', 'origin': 'maestro', 'comment': 'test_user on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.stackinit.test_user', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2ab', 'origin': 'maestro', 'comment': 'freq_qos_test_min on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.qos-kunit-test.freq_qos_test_min', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2ac', 'origin': 'maestro', 'comment': 'freq_qos_test_maxdef on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.qos-kunit-test.freq_qos_test_maxdef', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2ad', 'origin': 'maestro', 'comment': 'freq_qos_test_readd on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.qos-kunit-test.freq_qos_test_readd', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2af', 'origin': 'maestro', 'comment': 'pe_test_uints on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.property-entry.pe_test_uints', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2b0', 'origin': 'maestro', 'comment': 'pe_test_uint_arrays on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.property-entry.pe_test_uint_arrays', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2b1', 'origin': 'maestro', 'comment': 'pe_test_strings on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.property-entry.pe_test_strings', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2b2', 'origin': 'maestro', 'comment': 'pe_test_bool on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.212000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.property-entry.pe_test_bool', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2b3', 'origin': 'maestro', 'comment': 'pe_test_move_inline_u8 on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.213000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.property-entry.pe_test_move_inline_u8', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2b4', 'origin': 'maestro', 'comment': 'pe_test_move_inline_str on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.213000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.property-entry.pe_test_move_inline_str', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}, {'build_id': 'maestro:dummy_66f0b0aabca8c035cac2c199_x86_64', 'id': 'maestro:66f0b193bca8c035cac2c2b5', 'origin': 'maestro', 'comment': 'pe_test_reference on kubernetes in k8s-gke-eu-west4', 'start_time': '2024-09-23T00:08:51.213000+00:00', 'environment': {'comment': 'Runtime: k8s-gke-eu-west4', 'misc': {'platform': 'kubernetes'}}, 'waived': False, 'path': 'kunit.exec.property-entry.pe_test_reference', 'misc': {'arch': 'x86_64', 'runtime': 'k8s-gke-eu-west4'}, 'status': 'PASS', 'output_files': [{'name': 'tarball', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/linux-kernelci-staging-stable-staging-stable-20240923.0.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'job_txt', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/job_txt?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kunit_json', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/kunit_json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kunit-x86_64-66f0b108bca8c035cac2c1af/test_log?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'E_BAD_PARAMETER, During Region initialization (20220331/tbxfload-52)\nACPI: Unable to load the System Description Tables\nACPI Error: Could not remove SCI handler (20220331/evmisc-251)\niommu: Default domain type: Translated \niommu: DMA domain TLB invalidation policy: lazy mode \nclocksource: Switched to clocksource tsc-early\npnp: PnP ACPI: disabled\nclocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns\nplatform rtc_cmos: registered platform RTC device (no PNP device found)\nworkingset: timestamp_bits=62 max_order=18 bucket_order=0\nio scheduler mq-deadline registered\nio scheduler kyber registered\nSerial: 8250/16550 driver, 4 ports, IRQ sharing disabled\nserial8250: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A\ni8042: PNP: No PS/2 controller found.\ni8042: Probing ports directly.\nserio: i8042 KBD port at 0x60,0x64 irq 1\nserio: i8042 AUX port at 0x60,0x64 irq 12\nsched_clock: Marking stable (417315293, 22549421)->(467435772, -27571058)\ninput: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input0\nKTAP version 1\n1..28\n KTAP version 1\n # Subtest: time_test_cases\n 1..1\n ok 1 time64_to_tm_test_date_range\nok 1 time_test_cases\n KTAP version 1\n # Subtest: hw_breakpoint\n 1..9\n ok 1 test_one_cpu # SKIP not enough cpus\ntsc: Refined TSC clocksource calibration: 2249.996 MHz\nclocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x206eb044ef0, max_idle_ns: 440795314624 ns\nclocksource: Switched to clocksource tsc\n ok 2 test_many_cpus # SKIP not enough cpus\n ok 3 test_one_task_on_all_cpus # SKIP not enough cpus\n ok 4 test_two_tasks_on_all_cpus # SKIP not enough cpus\n ok 5 test_one_task_on_one_cpu # SKIP not enough cpus\n ok 6 test_one_task_mixed # SKIP not enough cpus\n ok 7 test_two_tasks_on_one_cpu # SKIP not enough cpus\n ok 8 test_two_tasks_on_one_all_cpus # SKIP not enough cpus\n ok 9 test_task_on_all_and_one_cpu # SKIP not enough cpus\n# hw_breakpoint: pass:0 fail:0 skip:9 total:9\n# Totals: pass:0 fail:0 skip:9 total:9\nok 2 hw_breakpoint # SKIP \n KTAP version 1\n # Subtest: resource\n 1..2\n ok 1 resource_test_union\n ok 2 resource_test_intersection\n# resource: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 3 resource\n KTAP version 1\n # Subtest: sysctl_test\n 1..10\n ok 1 sysctl_test_api_dointvec_null_tbl_data\n ok 2 sysctl_test_api_dointvec_table_maxlen_unset\n ok 3 sysctl_test_api_dointvec_table_len_is_zero\n ok 4 sysctl_test_api_dointvec_table_read_but_position_set\n ok 5 sysctl_test_dointvec_read_happy_single_positive\n ok 6 sysctl_test_dointvec_read_happy_single_negative\n ok 7 sysctl_test_dointvec_write_happy_single_positive\n ok 8 sysctl_test_dointvec_write_happy_single_negative\n ok 9 sysctl_test_api_dointvec_write_single_less_int_min\n ok 10 sysctl_test_api_dointvec_write_single_greater_int_max\n# sysctl_test: pass:10 fail:0 skip:0 total:10\n# Totals: pass:10 fail:0 skip:0 total:10\nok 4 sysctl_test\n KTAP version 1\n # Subtest: binfmt_elf\n 1..1\n ok 1 total_mapping_size_test\nok 5 binfmt_elf\n KTAP version 1\n # Subtest: cpumask\n 1..6\n ok 1 test_cpumask_weight\n ok 2 test_cpumask_first\n ok 3 test_cpumask_last\n ok 4 test_cpumask_next\n ok 5 test_cpumask_iterators\n ok 6 test_cpumask_iterators_builtin\n# cpumask: pass:6 fail:0 skip:0 total:6\n# Totals: pass:6 fail:0 skip:0 total:6\nok 6 cpumask\n KTAP version 1\n # Subtest: hash\n 1..2\n ok 1 test_string_or\n ok 2 test_hash_or\n# hash: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 7 hash\n KTAP version 1\n # Subtest: list_sort\n 1..1\n ok 1 list_sort_test\nok 8 list_sort\n KTAP version 1\n # Subtest: lib_sort\n 1..1\n ok 1 test_sort\nok 9 lib_sort\n KTAP version 1\n # Subtest: kunit_executor_test\n 1..4\n ok 1 parse_filter_test\n ok 2 filter_suites_test\n ok 3 filter_suites_test_glob_test\n ok 4 filter_suites_to_empty_test\n# kunit_executor_test: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 10 kunit_executor_test\n KTAP version 1\n # Subtest: kunit-try-catch-test\n 1..2\n ok 1 kunit_test_try_catch_successful_try_no_catch\n ok 2 kunit_test_try_catch_unsuccessful_try_does_catch\n# kunit-try-catch-test: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 11 kunit-try-catch-test\n KTAP version 1\n # Subtest: kunit-resource-test\n 1..8\n ok 1 kunit_resource_test_init_resources\n ok 2 kunit_resource_test_alloc_resource\n ok 3 kunit_resource_test_destroy_resource\n ok 4 kunit_resource_test_remove_resource\n ok 5 kunit_resource_test_cleanup_resources\n ok 6 kunit_resource_test_proper_free_ordering\n ok 7 kunit_resource_test_static\n ok 8 kunit_resource_test_named\n# kunit-resource-test: pass:8 fail:0 skip:0 total:8\n# Totals: pass:8 fail:0 skip:0 total:8\nok 12 kunit-resource-test\n KTAP version 1\n # Subtest: kunit-log-test\n 1..1\nput this in log.\nthis too.\nadd to suite log.\nalong with this.\n ok 1 kunit_log_test\nok 13 kunit-log-test\n KTAP version 1\n # Subtest: kunit_status\n 1..2\n ok 1 kunit_status_set_failure_test\n ok 2 kunit_status_mark_skipped_test\n# kunit_status: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 14 kunit_status\n KTAP version 1\n # Subtest: string-stream-test\n 1..3\n ok 1 string_stream_test_empty_on_creation\n ok 2 string_stream_test_not_empty_after_add\n ok 3 string_stream_test_get_string\n# string-stream-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 15 string-stream-test\n # example: initializing suite\n KTAP version 1\n # Subtest: example\n 1..4\n # example_simple_test: initializing\n ok 1 example_simple_test\n # example_skip_test: initializing\n # example_skip_test: You should not see a line below.\n ok 2 example_skip_test # SKIP this test should be skipped\n # example_mark_skipped_test: initializing\n # example_mark_skipped_test: You should see a line below.\n # example_mark_skipped_test: You should see this line.\n ok 3 example_mark_skipped_test # SKIP this test should be skipped\n # example_all_expect_macros_test: initializing\n ok 4 example_all_expect_macros_test\n# example: pass:2 fail:0 skip:2 total:4\n# Totals: pass:2 fail:0 skip:2 total:4\nok 16 example\n KTAP version 1\n # Subtest: bitfields\n 1..2\n ok 1 test_bitfields_constants\n ok 2 test_bitfields_variables\n# bitfields: pass:2 fail:0 skip:0 total:2\n# Totals: pass:2 fail:0 skip:0 total:2\nok 17 bitfields\n KTAP version 1\n # Subtest: list-kunit-test\n 1..39\n ok 1 list_test_list_init\n ok 2 list_test_list_add\n ok 3 list_test_list_add_tail\n ok 4 list_test_list_del\n ok 5 list_test_list_replace\n ok 6 list_test_list_replace_init\n ok 7 list_test_list_swap\n ok 8 list_test_list_del_init\n ok 9 list_test_list_del_init_careful\n ok 10 list_test_list_move\n ok 11 list_test_list_move_tail\n ok 12 list_test_list_bulk_move_tail\n ok 13 list_test_list_is_head\n ok 14 list_test_list_is_first\n ok 15 list_test_list_is_last\n ok 16 list_test_list_empty\n ok 17 list_test_list_empty_careful\n ok 18 list_test_list_rotate_left\n ok 19 list_test_list_rotate_to_front\n ok 20 list_test_list_is_singular\n ok 21 list_test_list_cut_position\n ok 22 list_test_list_cut_before\n ok 23 list_test_list_splice\n ok 24 list_test_list_splice_tail\n ok 25 list_test_list_splice_init\n ok 26 list_test_list_splice_tail_init\n ok 27 list_test_list_entry\n ok 28 list_test_list_entry_is_head\n ok 29 list_test_list_first_entry\n ok 30 list_test_list_last_entry\n ok 31 list_test_list_first_entry_or_null\n ok 32 list_test_list_next_entry\n ok 33 list_test_list_prev_entry\n ok 34 list_test_list_for_each\n ok 35 list_test_list_for_each_prev\n ok 36 list_test_list_for_each_safe\n ok 37 list_test_list_for_each_prev_safe\n ok 38 list_test_list_for_each_entry\n ok 39 list_test_list_for_each_entry_reverse\n# list-kunit-test: pass:39 fail:0 skip:0 total:39\n# Totals: pass:39 fail:0 skip:0 total:39\nok 18 list-kunit-test\n KTAP version 1\n # Subtest: hlist\n 1..18\n ok 1 hlist_test_init\n ok 2 hlist_test_unhashed\n ok 3 hlist_test_unhashed_lockless\n ok 4 hlist_test_del\n ok 5 hlist_test_del_init\n ok 6 hlist_test_add\n ok 7 hlist_test_fake\n ok 8 hlist_test_is_singular_node\n ok 9 hlist_test_empty\n ok 10 hlist_test_move_list\n ok 11 hlist_test_entry\n ok 12 hlist_test_entry_safe\n ok 13 hlist_test_for_each\n ok 14 hlist_test_for_each_safe\n ok 15 hlist_test_for_each_entry\n ok 16 hlist_test_for_each_entry_continue\n ok 17 hlist_test_for_each_entry_from\n ok 18 hlist_test_for_each_entry_safe\n# hlist: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 19 hlist\n KTAP version 1\n # Subtest: bits-test\n 1..3\n ok 1 genmask_test\n ok 2 genmask_ull_test\n ok 3 genmask_input_check_test\n# bits-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 20 bits-test\n KTAP version 1\n # Subtest: cmdline\n 1..4\n ok 1 cmdline_test_noint\n ok 2 cmdline_test_lead_int\n ok 3 cmdline_test_tail_int\n ok 4 cmdline_test_range\n# cmdline: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 21 cmdline\n KTAP version 1\n # Subtest: slub_test\n 1..5\n ok 1 test_clobber_zone\n ok 2 test_next_pointer\n ok 3 test_first_word\n ok 4 test_clobber_50th_byte\n ok 5 test_clobber_redzone_free\n# slub_test: pass:5 fail:0 skip:0 total:5\n# Totals: pass:5 fail:0 skip:0 total:5\nok 22 slub_test\n KTAP version 1\n # Subtest: memcpy\n 1..4\n # memset_test: ok: memset() direct assignment\n # memset_test: ok: memset() complete overwrite\n # memset_test: ok: memset() middle overwrite\n # memset_test: ok: memset() argument side-effects\n # memset_test: ok: memset() memset_after()\n # memset_test: ok: memset() memset_startat()\n ok 1 memset_test\n # memcpy_test: ok: memcpy() static initializers\n # memcpy_test: ok: memcpy() direct assignment\n # memcpy_test: ok: memcpy() complete overwrite\n # memcpy_test: ok: memcpy() middle overwrite\n # memcpy_test: ok: memcpy() argument side-effects\n ok 2 memcpy_test\n # memmove_test: ok: memmove() static initializers\n # memmove_test: ok: memmove() direct assignment\n # memmove_test: ok: memmove() complete overwrite\n # memmove_test: ok: memmove() middle overwrite\n # memmove_test: ok: memmove() argument side-effects\n # memmove_test: ok: memmove() overlapping write\n ok 3 memmove_test\n ok 4 strtomem_test\n# memcpy: pass:4 fail:0 skip:0 total:4\n# Totals: pass:4 fail:0 skip:0 total:4\nok 23 memcpy\n KTAP version 1\n # Subtest: is_signed_type\n 1..1\n ok 1 is_signed_type_test\nok 24 is_signed_type\n KTAP version 1\n # Subtest: overflow\n 1..18\n # u8_u8__u8_overflow_test: 18 u8_u8__u8 arithmetic tests finished\n ok 1 u8_u8__u8_overflow_test\n # s8_s8__s8_overflow_test: 19 s8_s8__s8 arithmetic tests finished\n ok 2 s8_s8__s8_overflow_test\n # u16_u16__u16_overflow_test: 17 u16_u16__u16 arithmetic tests finished\n ok 3 u16_u16__u16_overflow_test\n # s16_s16__s16_overflow_test: 17 s16_s16__s16 arithmetic tests finished\n ok 4 s16_s16__s16_overflow_test\n # u32_u32__u32_overflow_test: 17 u32_u32__u32 arithmetic tests finished\n ok 5 u32_u32__u32_overflow_test\n # s32_s32__s32_overflow_test: 17 s32_s32__s32 arithmetic tests finished\n ok 6 s32_s32__s32_overflow_test\n # u64_u64__u64_overflow_test: 17 u64_u64__u64 arithmetic tests finished\n ok 7 u64_u64__u64_overflow_test\n # s64_s64__s64_overflow_test: 21 s64_s64__s64 arithmetic tests finished\n ok 8 s64_s64__s64_overflow_test\n # u32_u32__int_overflow_test: 2 u32_u32__int arithmetic tests finished\n ok 9 u32_u32__int_overflow_test\n # u32_u32__u8_overflow_test: 3 u32_u32__u8 arithmetic tests finished\n ok 10 u32_u32__u8_overflow_test\n # u8_u8__int_overflow_test: 3 u8_u8__int arithmetic tests finished\n ok 11 u8_u8__int_overflow_test\n # int_int__u8_overflow_test: 3 int_int__u8 arithmetic tests finished\n ok 12 int_int__u8_overflow_test\n # shift_sane_test: 36 sane shift tests finished\n ok 13 shift_sane_test\n # shift_overflow_test: 25 overflow shift tests finished\n ok 14 shift_overflow_test\n # shift_truncate_test: 27 truncate shift tests finished\n ok 15 shift_truncate_test\n # shift_nonsense_test: 25 nonsense shift tests finished\n ok 16 shift_nonsense_test\n # overflow_allocation_test: 11 allocation overflow tests finished\n ok 17 overflow_allocation_test\n # overflow_size_helpers_test: 43 overflow size helper tests finished\n ok 18 overflow_size_helpers_test\n# overflow: pass:18 fail:0 skip:0 total:18\n# Totals: pass:18 fail:0 skip:0 total:18\nok 25 overflow\n KTAP version 1\n # Subtest: stackinit\n 1..65\n ok 1 test_u8_zero\n ok 2 test_u16_zero\n ok 3 test_u32_zero\n ok 4 test_u64_zero\n ok 5 test_char_array_zero\n ok 6 test_small_hole_zero\n ok 7 test_big_hole_zero\n ok 8 test_trailing_hole_zero\n ok 9 test_packed_zero\n ok 10 test_small_hole_dynamic_partial\n ok 11 test_big_hole_dynamic_partial\n ok 12 test_trailing_hole_dynamic_partial\n ok 13 test_packed_dynamic_partial\n ok 14 test_small_hole_assigned_dynamic_partial\n ok 15 test_big_hole_assigned_dynamic_partial\n ok 16 test_trailing_hole_assigned_dynamic_partial\n ok 17 test_packed_assigned_dynamic_partial\n ok 18 test_small_hole_static_partial\n ok 19 test_big_hole_static_partial\n ok 20 test_trailing_hole_static_partial\n ok 21 test_packed_static_partial\n ok 22 test_small_hole_static_all\n ok 23 test_big_hole_static_all\n ok 24 test_trailing_hole_static_all\n ok 25 test_packed_static_all\n ok 26 test_small_hole_dynamic_all\n ok 27 test_big_hole_dynamic_all\n ok 28 test_trailing_hole_dynamic_all\n ok 29 test_packed_dynamic_all\n ok 30 test_small_hole_runtime_partial\n ok 31 test_big_hole_runtime_partial\n ok 32 test_trailing_hole_runtime_partial\n ok 33 test_packed_runtime_partial\n ok 34 test_small_hole_runtime_all\n ok 35 test_big_hole_runtime_all\n ok 36 test_trailing_hole_runtime_all\n ok 37 test_packed_runtime_all\n ok 38 test_small_hole_assigned_static_partial\n ok 39 test_big_hole_assigned_static_partial\n ok 40 test_trailing_hole_assigned_static_partial\n ok 41 test_packed_assigned_static_partial\n ok 42 test_small_hole_assigned_static_all\n ok 43 test_big_hole_assigned_static_all\n ok 44 test_trailing_hole_assigned_static_all\n ok 45 test_packed_assigned_static_all\n ok 46 test_small_hole_assigned_dynamic_all\n ok 47 test_big_hole_assigned_dynamic_all\n ok 48 test_trailing_hole_assigned_dynamic_all\n ok 49 test_packed_assigned_dynamic_all\n ok 50 test_small_hole_assigned_copy # SKIP XFAIL uninit bytes: 3\n ok 51 test_big_hole_assigned_copy # SKIP XFAIL uninit bytes: 124\n ok 52 test_trailing_hole_assigned_copy # SKIP XFAIL uninit bytes: 7\n ok 53 test_packed_assigned_copy\n ok 54 test_u8_none\n ok 55 test_u16_none\n ok 56 test_u32_none\n ok 57 test_u64_none\n ok 58 test_char_array_none\n ok 59 test_switch_1_none # SKIP XFAIL uninit bytes: 80\n ok 60 test_switch_2_none # SKIP XFAIL uninit bytes: 80\n ok 61 test_small_hole_none\n ok 62 test_big_hole_none\n ok 63 test_trailing_hole_none\n ok 64 test_packed_none\n ok 65 test_user\n# stackinit: pass:60 fail:0 skip:5 total:65\n# Totals: pass:60 fail:0 skip:5 total:65\nok 26 stackinit\n KTAP version 1\n # Subtest: qos-kunit-test\n 1..3\n ok 1 freq_qos_test_min\n ok 2 freq_qos_test_maxdef\n ok 3 freq_qos_test_readd\n# qos-kunit-test: pass:3 fail:0 skip:0 total:3\n# Totals: pass:3 fail:0 skip:0 total:3\nok 27 qos-kunit-test\n KTAP version 1\n # Subtest: property-entry\n 1..7\n ok 1 pe_test_uints\n ok 2 pe_test_uint_arrays\n ok 3 pe_test_strings\n ok 4 pe_test_bool\n ok 5 pe_test_move_inline_u8\n ok 6 pe_test_move_inline_str\n ok 7 pe_test_reference\n# property-entry: pass:7 fail:0 skip:0 total:7\n# Totals: pass:7 fail:0 skip:0 total:7\nok 28 property-entry\ninput: ImExPS/2 Generic Explorer Mouse as /devices/platform/i8042/serio1/input/input2\nreboot: Restarting system\nreboot: machine restart\n'}], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:10:28 AM UTC [INFO] Received an event for node: 66f0b0fbbca8c035cac2c19c 09/23/2024 12:10:28 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b0fbbca8c035cac2c19c', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:19.018000+00:00', 'architecture': 'arc', 'compiler': 'gcc-12', 'config_name': 'haps_hs_smp_defconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b0fbbca8c035cac2c19c-kbuild-gcc-12-arc-haps-h-r147xj58', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'uimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/build_dtbs.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/build_dtbs_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/uImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arc-haps_hs_smp_defconfig-66f0b0fbbca8c035cac2c19c/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'mp/kci/linux/tools/testing/selftests/size\'\narc-elf32-gcc -static -ffreestanding -nostartfiles -s get_size.c -o /tmp/kci/linux/tools/testing/selftests/size/get_size\nget_size.c:29:10: fatal error: sys/sysinfo.h: No such file or directory\n 29 | #include \n | ^~~~~~~~~~~~~~~\ncompilation terminated.\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/size/get_size] Error 1\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\narc-elf32-gcc default_file_splice_read.c -o /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read\ndefault_file_splice_read.c: In function \'main\':\ndefault_file_splice_read.c:7:9: warning: implicit declaration of function \'splice\' [-Wimplicit-function-declaration]\n 7 | splice(0, 0, 1, 0, 1<<30, 0);\n | ^~~~~~\n/usr/bin/../lib/gcc/arc-snps-elf/12.2.1/../../../../arc-snps-elf/bin/ld: /tmp/ccxIhAtI.o: in function `main\':\ndefault_file_splice_read.c:(.text+0x22): undefined reference to `splice\'\n/usr/bin/../lib/gcc/arc-snps-elf/12.2.1/../../../../arc-snps-elf/bin/ld: default_file_splice_read.c:(.text+0x22): undefined reference to `splice\'\ncollect2: error: ld returned 1 exit status\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read] Error 1\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nrsync -a --copy-unsafe-links test_static_keys.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\narc-elf32-gcc -c sync_test.c -o /tmp/kci/linux/tools/testing/selftests/sync/sync_test.o -O2 -g -std=gnu89 -pthread -Wall -Wextra -isystem /tmp/kci/linux/usr/include \narc-elf32-gcc: error: unrecognized command-line option \'-pthread\'\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmake[2]: *** [Makefile:33: /tmp/kci/linux/tools/testing/selftests/sync/sync_test.o] Error 1\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\narc-elf32-gcc -Wall -I/tmp/kci/linux/tools/testing/selftests/../../../usr/include/ sud_test.c -o /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test\nsud_test.c:9:10: fatal error: sys/prctl.h: No such file or directory\n 9 | #include \n | ^~~~~~~~~~~~~\ncompilation terminated.\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test] Error 1\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nrsync -a --copy-unsafe-links sysctl.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\n/bin/sh: 1: llc: not found\nclang -I. -I/include/uapi -Wno-compare-distinct-pointer-types \\\n\t -O2 -target bpf -emit-llvm -c action.c -o - | \\\nllc -march=bpf -mcpu=probe -filetype=obj -o /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o\n/bin/sh: 1: clang: not found\n/bin/sh: 3: llc: not found\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nmake[2]: *** [Makefile:26: /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o] Error 127\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\narc-elf32-gcc -Wall -Werror -pthread timens.c -lrt -ldl -o /tmp/kci/linux/tools/testing/selftests/timens/timens\narc-elf32-gcc: error: unrecognized command-line option \'-pthread\'\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/timens/timens] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\narc-elf32-gcc -O3 -Wl,-no-as-needed -Wall posix_timers.c -lrt -lpthread -lm -o /tmp/kci/linux/tools/testing/selftests/timers/posix_timers\nposix_timers.c: In function \'kernel_loop\':\nposix_timers.c:40:23: warning: implicit declaration of function \'brk\'; did you mean \'sbrk\'? [-Wimplicit-function-declaration]\n 40 | err = brk(addr + 4096);\n | ^~~\n | sbrk\nposix_timers.c: In function \'check_timer_create\':\nposix_timers.c:148:22: error: \'CLOCK_THREAD_CPUTIME_ID\' undeclared (first use in this function)\n 148 | if (which == CLOCK_THREAD_CPUTIME_ID) {\n | ^~~~~~~~~~~~~~~~~~~~~~~\nposix_timers.c:148:22: note: each undeclared identifier is reported only once for each function it appears in\nposix_timers.c:150:29: error: \'CLOCK_PROCESS_CPUTIME_ID\' undeclared (first use in this function)\n 150 | } else if (which == CLOCK_PROCESS_CPUTIME_ID) {\n | ^~~~~~~~~~~~~~~~~~~~~~~~\nposix_timers.c:156:15: warning: implicit declaration of function \'timer_create\'; did you mean \'timerclear\'? [-Wimplicit-function-declaration]\n 156 | err = timer_create(which, NULL, &id);\n | ^~~~~~~~~~~~\n | timerclear\nposix_timers.c:169:15: warning: implicit declaration of function \'timer_settime\' [-Wimplicit-function-declaration]\n 169 | err = timer_settime(id, 0, &val, NULL);\n | ^~~~~~~~~~~~~\nposix_timers.c: In function \'main\':\nposix_timers.c:205:32: error: \'CLOCK_THREAD_CPUTIME_ID\' undeclared (first use in this function)\n 205 | if (check_timer_create(CLOCK_THREAD_CPUTIME_ID) < 0)\n | ^~~~~~~~~~~~~~~~~~~~~~~\nposix_timers.c:217:32: error: \'CLOCK_PROCESS_CPUTIME_ID\' undeclared (first use in this function)\n 217 | if (check_timer_create(CLOCK_PROCESS_CPUTIME_ID) < 0)\n | ^~~~~~~~~~~~~~~~~~~~~~~~\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/timers/posix_timers] Error 1\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\narc-elf32-gcc -Wall -O2 -D_GNU_SOURCE bug-link-o-tmpfile.c -o /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile\nbug-link-o-tmpfile.c:23:10: fatal error: sys/mount.h: No such file or directory\n 23 | #include \n | ^~~~~~~~~~~~~\ncompilation terminated.\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile] Error 1\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nrsync -a --copy-unsafe-links test_smoke.sh test_space.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links tpm2.py tpm2_tests.py /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nrsync -a --copy-unsafe-links test_user_copy.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\narc-elf32-gcc -std=gnu99 vdso_test_gettimeofday.c parse_vdso.c -o /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday\nvdso_test_gettimeofday.c:16:10: fatal error: sys/auxv.h: No such file or directory\n 16 | #include \n | ^~~~~~~~~~~~\ncompilation terminated.\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday] Error 1\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\narc-elf32-gcc -Wall -I /tmp/kci/linux/tools/testing/selftests/../../.. -isystem /tmp/kci/linux/usr/include -no-pie madv_populate.c vm_util.c -lrt -lpthread -o /tmp/kci/linux/tools/testing/selftests/vm/madv_populate\nmadv_populate.c:18:10: fatal error: sys/mman.h: No such file or directory\n 18 | #include \n | ^~~~~~~~~~~~\ncompilation terminated.\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vm/madv_populate] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Nothing to be done for \'install\'.\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nrsync -a --copy-unsafe-links zram.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links zram01.sh zram02.sh zram_lib.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nfor TARGET in alsa amd-pstate arm64 breakpoints capabilities cgroup clone3 core cpufreq cpu-hotplug damon drivers/dma-buf drivers/s390x/uvdevice drivers/net/bonding drivers/net/team efivarfs exec filesystems filesystems/binderfs filesystems/epoll filesystems/fat firmware fpu ftrace futex gpio intel_pstate ipc ir kcmp kexec kvm landlock lib livepatch lkdtm membarrier memfd memory-hotplug mincore mount mount_setattr move_mount_set_group mqueue nci net net/af_unix net/forwarding net/mptcp net/openvswitch netfilter nsfs pidfd pid_namespace powerpc proc pstore ptrace openat2 resctrl rlimits rseq rtc seccomp sgx sigaltstack size sparc64 splice static_keys sync syscall_user_dispatch sysctl tc-testing timens timers tmpfs tpm2 user vDSO vm x86 zram; do \\\n\tBUILD_TARGET=$BUILD/$TARGET;\t\\\n\t[ ! -d /tmp/kci/linux/tools/testing/selftests/kselftest_install/$TARGET ] && printf "Skipping non-existent dir: $TARGET\\n" && continue; \\\n\tprintf "Emit Tests for $TARGET\\n"; \\\n\tmake -s --no-print-directory OUTPUT=$BUILD_TARGET COLLECTION=$TARGET \\\n\t\t-C $TARGET emit_tests >> /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-list.txt; \\\ndone;\nSkipping non-existent dir: alsa\nEmit Tests for amd-pstate\nSkipping non-existent dir: arm64\nSkipping non-existent dir: breakpoints\nSkipping non-existent dir: capabilities\nSkipping non-existent dir: cgroup\nSkipping non-existent dir: clone3\nSkipping non-existent dir: core\nEmit Tests for cpufreq\nEmit Tests for cpu-hotplug\nEmit Tests for damon\nSkipping non-existent dir: drivers/dma-buf\nSkipping non-existent dir: drivers/s390x/uvdevice\nEmit Tests for drivers/net/bonding\nEmit Tests for drivers/net/team\nSkipping non-existent dir: efivarfs\nSkipping non-existent dir: exec\nSkipping non-existent dir: filesystems\nSkipping non-existent dir: filesystems/binderfs\nSkipping non-existent dir: filesystems/epoll\nSkipping non-existent dir: filesystems/fat\nSkipping non-existent dir: firmware\nSkipping non-existent dir: fpu\nEmit Tests for ftrace\nEmit Tests for futex\nSkipping non-existent dir: gpio\nEmit Tests for intel_pstate\nSkipping non-existent dir: ipc\nSkipping non-existent dir: ir\nSkipping non-existent dir: kcmp\nSkipping non-existent dir: kexec\nSkipping non-existent dir: kvm\nSkipping non-existent dir: landlock\nEmit Tests for lib\nEmit Tests for livepatch\nEmit Tests for lkdtm\nSkipping non-existent dir: membarrier\nSkipping non-existent dir: memfd\nEmit Tests for memory-hotplug\nSkipping non-existent dir: mincore\nSkipping non-existent dir: mount\nSkipping non-existent dir: mount_setattr\nSkipping non-existent dir: move_mount_set_group\nSkipping non-existent dir: mqueue\nSkipping non-existent dir: nci\nEmit Tests for net\nSkipping non-existent dir: net/af_unix\nEmit Tests for net/forwarding\nSkipping non-existent dir: net/mptcp\nEmit Tests for net/openvswitch\nSkipping non-existent dir: netfilter\nSkipping non-existent dir: nsfs\nSkipping non-existent dir: pidfd\nSkipping non-existent dir: pid_namespace\nSkipping non-existent dir: powerpc\nSkipping non-existent dir: proc\nEmit Tests for pstore\nSkipping non-existent dir: ptrace\nSkipping non-existent dir: openat2\nSkipping non-existent dir: resctrl\nSkipping non-existent dir: rlimits\nSkipping non-existent dir: rseq\nSkipping non-existent dir: rtc\nSkipping non-existent dir: seccomp\nSkipping non-existent dir: sgx\nSkipping non-existent dir: sigaltstack\nSkipping non-existent dir: size\nSkipping non-existent dir: sparc64\nSkipping non-existent dir: splice\nEmit Tests for static_keys\nSkipping non-existent dir: sync\nSkipping non-existent dir: syscall_user_dispatch\nEmit Tests for sysctl\nSkipping non-existent dir: tc-testing\nSkipping non-existent dir: timens\nSkipping non-existent dir: timers\nSkipping non-existent dir: tmpfs\nEmit Tests for tpm2\nEmit Tests for user\nSkipping non-existent dir: vDSO\nSkipping non-existent dir: vm\nSkipping non-existent dir: x86\nEmit Tests for zram\nCreated /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz\n+ echo job:build_kselftest=done\n++ date +%s\n+ echo jobets:build_kselftest=1727050178\n+ echo -----log:build_dtbs-----\n-----log:build_dtbs-----\n+ echo job:build_dtbs=running\n++ date +%s\n+ echo jobsts:build_dtbs=1727050178\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n++ nproc\n+ make -j8 dtbs\n++ tee /tmp/kci/artifacts/build_dtbs.log\n++ tee /tmp/kci/artifacts/build_dtbs_stderr.log\n+ cd ..\n+ echo job:build_dtbs=done\n++ date +%s\n+ echo jobets:build_dtbs=1727050178\n+ echo -----log:package_kimage-----\n-----log:package_kimage-----\n+ echo job:package_kimage=running\n++ date +%s\n+ echo jobsts:package_kimage=1727050178\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/arc/boot/uImage ../artifacts\n+ cd ..\n+ echo job:package_kimage=done\n++ date +%s\n+ echo jobets:package_kimage=1727050178\n+ echo -----log:package_modules-----\n-----log:package_modules-----\n+ echo job:package_modules=running\n++ date +%s\n+ echo jobsts:package_modules=1727050178\n+ cd /tmp/kci/linux\n+ set +e\n+ grep CONFIG_MODULES=y .config\nCONFIG_MODULES=y\n+ \'[\' 0 -eq 0 \']\'\n+ set -e\n+ make modules_install\n DEPMOD _modules_/lib/modules/6.1.111\n+ tar -C _modules_ -cJf /tmp/kci/artifacts/modules.tar.xz .\n+ cd ..\n+ rm -rf _modules_\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050178\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050178\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050178\n+ echo -----log:package_dtbs-----\n-----log:package_dtbs-----\n+ echo job:package_dtbs=running\n++ date +%s\n+ echo jobsts:package_dtbs=1727050178\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ make dtbs_install\n INSTALL _dtbs_/haps_hs_idu.dtb\n+ mkdir -p /tmp/kci/artifacts/dtbs\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp -r _dtbs_/haps_hs_idu.dtb /tmp/kci/artifacts/dtbs\n+ cd ..\n+ echo job:package_dtbs=done\n++ date +%s\n+ echo jobets:package_dtbs=1727050178\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:11:01 AM UTC [INFO] Received an event for node: 66f0b102bca8c035cac2c1a6 09/23/2024 12:11:02 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b102bca8c035cac2c1a6', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:26.865000+00:00', 'architecture': 'i386', 'compiler': 'gcc-12', 'config_name': 'allnoconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b102bca8c035cac2c1a6-kbuild-gcc-12-i386-allno-wiy0ghd8', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'bzimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/bzImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-allnoconfig-66f0b102bca8c035cac2c1a6/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'inks /tmp/kci/linux/tools/testing/selftests/size/get_size /tmp/kci/linux/tools/testing/selftests/kselftest_install/size/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nrsync -a --copy-unsafe-links default_file_splice_read.sh short_splice_read.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read /tmp/kci/linux/tools/testing/selftests/splice/splice_read /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links config settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nrsync -a --copy-unsafe-links test_static_keys.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/sync/sync_test /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_benchmark /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nrsync -a --copy-unsafe-links sysctl.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\n/bin/sh: 1: llc: not found\nclang -I. -I/include/uapi -Wno-compare-distinct-pointer-types \\\n\t -O2 -target bpf -emit-llvm -c action.c -o - | \\\nllc -march=bpf -mcpu=probe -filetype=obj -o /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o\n/bin/sh: 1: clang: not found\n/bin/sh: 3: llc: not found\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nmake[2]: *** [Makefile:26: /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o] Error 127\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/timens /tmp/kci/linux/tools/testing/selftests/timens/timerfd /tmp/kci/linux/tools/testing/selftests/timens/timer /tmp/kci/linux/tools/testing/selftests/timens/clock_nanosleep /tmp/kci/linux/tools/testing/selftests/timens/procfs /tmp/kci/linux/tools/testing/selftests/timens/exec /tmp/kci/linux/tools/testing/selftests/timens/futex /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/gettime_perf /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/posix_timers /tmp/kci/linux/tools/testing/selftests/timers/nanosleep /tmp/kci/linux/tools/testing/selftests/timers/nsleep-lat /tmp/kci/linux/tools/testing/selftests/timers/set-timer-lat /tmp/kci/linux/tools/testing/selftests/timers/mqueue-lat /tmp/kci/linux/tools/testing/selftests/timers/inconsistency-check /tmp/kci/linux/tools/testing/selftests/timers/raw_skew /tmp/kci/linux/tools/testing/selftests/timers/threadtest /tmp/kci/linux/tools/testing/selftests/timers/rtcpie /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/alarmtimer-suspend /tmp/kci/linux/tools/testing/selftests/timers/valid-adjtimex /tmp/kci/linux/tools/testing/selftests/timers/adjtick /tmp/kci/linux/tools/testing/selftests/timers/change_skew /tmp/kci/linux/tools/testing/selftests/timers/skew_consistency /tmp/kci/linux/tools/testing/selftests/timers/clocksource-switch /tmp/kci/linux/tools/testing/selftests/timers/freq-step /tmp/kci/linux/tools/testing/selftests/timers/leap-a-day /tmp/kci/linux/tools/testing/selftests/timers/leapcrash /tmp/kci/linux/tools/testing/selftests/timers/set-tai /tmp/kci/linux/tools/testing/selftests/timers/set-2038 /tmp/kci/linux/tools/testing/selftests/timers/set-tz /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile /tmp/kci/linux/tools/testing/selftests/kselftest_install/tmpfs/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nrsync -a --copy-unsafe-links test_smoke.sh test_space.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links tpm2.py tpm2_tests.py /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nrsync -a --copy-unsafe-links test_user_copy.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_getcpu /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_abi /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_clock_getres /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_correctness /tmp/kci/linux/tools/testing/selftests/kselftest_install/vDSO/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\ngcc -Wall -I /tmp/kci/linux/tools/testing/selftests/../../.. -isystem /tmp/kci/linux/usr/include -no-pie map_hugetlb.c -lrt -lpthread -o /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb\nmap_hugetlb.c: In function ‘main’:\nmap_hugetlb.c:79:25: warning: implicit declaration of function ‘default_huge_page_size’ [-Wimplicit-function-declaration]\n 79 | hugepage_size = default_huge_page_size();\n | ^~~~~~~~~~~~~~~~~~~~~~\n/usr/bin/ld: /tmp/ccKp00JO.o: in function `main\':\nmap_hugetlb.c:(.text+0x104): undefined reference to `default_huge_page_size\'\ncollect2: error: ld returned 1 exit status\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_32 /tmp/kci/linux/tools/testing/selftests/x86/sysret_ss_attrs_32 /tmp/kci/linux/tools/testing/selftests/x86/syscall_nt_32 /tmp/kci/linux/tools/testing/selftests/x86/test_mremap_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/check_initial_reg_state_32 /tmp/kci/linux/tools/testing/selftests/x86/sigreturn_32 /tmp/kci/linux/tools/testing/selftests/x86/iopl_32 /tmp/kci/linux/tools/testing/selftests/x86/ioperm_32 /tmp/kci/linux/tools/testing/selftests/x86/test_vsyscall_32 /tmp/kci/linux/tools/testing/selftests/x86/mov_ss_trap_32 /tmp/kci/linux/tools/testing/selftests/x86/syscall_arg_fault_32 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_restore_32 /tmp/kci/linux/tools/testing/selftests/x86/sigaltstack_32 /tmp/kci/linux/tools/testing/selftests/x86/entry_from_vm86_32 /tmp/kci/linux/tools/testing/selftests/x86/test_syscall_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/unwind_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FCMOV_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FCOMI_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FISTTP_32 /tmp/kci/linux/tools/testing/selftests/x86/vdso_restorer_32 /tmp/kci/linux/tools/testing/selftests/x86/ldt_gdt_32 /tmp/kci/linux/tools/testing/selftests/x86/ptrace_syscall_32 /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_64 /tmp/kci/linux/tools/testing/selftests/x86/sysret_ss_attrs_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_nt_64 /tmp/kci/linux/tools/testing/selftests/x86/test_mremap_vdso_64 /tmp/kci/linux/tools/testing/selftests/x86/check_initial_reg_state_64 /tmp/kci/linux/tools/testing/selftests/x86/sigreturn_64 /tmp/kci/linux/tools/testing/selftests/x86/iopl_64 /tmp/kci/linux/tools/testing/selftests/x86/ioperm_64 /tmp/kci/linux/tools/testing/selftests/x86/test_vsyscall_64 /tmp/kci/linux/tools/testing/selftests/x86/mov_ss_trap_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_arg_fault_64 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_restore_64 /tmp/kci/linux/tools/testing/selftests/x86/sigaltstack_64 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_64 /tmp/kci/linux/tools/testing/selftests/x86/sysret_rip_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_numbering_64 /tmp/kci/linux/tools/testing/selftests/x86/corrupt_xstate_header_64 /tmp/kci/linux/tools/testing/selftests/x86/amx_64 /tmp/kci/linux/tools/testing/selftests/x86/ldt_gdt_64 /tmp/kci/linux/tools/testing/selftests/x86/ptrace_syscall_64 /tmp/kci/linux/tools/testing/selftests/kselftest_install/x86/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nrsync -a --copy-unsafe-links zram.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links zram01.sh zram02.sh zram_lib.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nfor TARGET in alsa amd-pstate arm64 breakpoints capabilities cgroup clone3 core cpufreq cpu-hotplug damon drivers/dma-buf drivers/s390x/uvdevice drivers/net/bonding drivers/net/team efivarfs exec filesystems filesystems/binderfs filesystems/epoll filesystems/fat firmware fpu ftrace futex gpio intel_pstate ipc ir kcmp kexec kvm landlock lib livepatch lkdtm membarrier memfd memory-hotplug mincore mount mount_setattr move_mount_set_group mqueue nci net net/af_unix net/forwarding net/mptcp net/openvswitch netfilter nsfs pidfd pid_namespace powerpc proc pstore ptrace openat2 resctrl rlimits rseq rtc seccomp sgx sigaltstack size sparc64 splice static_keys sync syscall_user_dispatch sysctl tc-testing timens timers tmpfs tpm2 user vDSO vm x86 zram; do \\\n\tBUILD_TARGET=$BUILD/$TARGET;\t\\\n\t[ ! -d /tmp/kci/linux/tools/testing/selftests/kselftest_install/$TARGET ] && printf "Skipping non-existent dir: $TARGET\\n" && continue; \\\n\tprintf "Emit Tests for $TARGET\\n"; \\\n\tmake -s --no-print-directory OUTPUT=$BUILD_TARGET COLLECTION=$TARGET \\\n\t\t-C $TARGET emit_tests >> /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-list.txt; \\\ndone;\nEmit Tests for alsa\nEmit Tests for amd-pstate\nSkipping non-existent dir: arm64\nEmit Tests for breakpoints\nEmit Tests for capabilities\nEmit Tests for cgroup\nEmit Tests for clone3\nEmit Tests for core\nEmit Tests for cpufreq\nEmit Tests for cpu-hotplug\nEmit Tests for damon\nEmit Tests for drivers/dma-buf\nSkipping non-existent dir: drivers/s390x/uvdevice\nEmit Tests for drivers/net/bonding\nEmit Tests for drivers/net/team\nEmit Tests for efivarfs\nEmit Tests for exec\nEmit Tests for filesystems\nEmit Tests for filesystems/binderfs\nEmit Tests for filesystems/epoll\nEmit Tests for filesystems/fat\nEmit Tests for firmware\nEmit Tests for fpu\nEmit Tests for ftrace\nEmit Tests for futex\nEmit Tests for gpio\nEmit Tests for intel_pstate\nEmit Tests for ipc\nEmit Tests for ir\nEmit Tests for kcmp\nEmit Tests for kexec\nSkipping non-existent dir: kvm\nEmit Tests for landlock\nEmit Tests for lib\nEmit Tests for livepatch\nEmit Tests for lkdtm\nEmit Tests for membarrier\nEmit Tests for memfd\nEmit Tests for memory-hotplug\nEmit Tests for mincore\nEmit Tests for mount\nEmit Tests for mount_setattr\nEmit Tests for move_mount_set_group\nEmit Tests for mqueue\nEmit Tests for nci\nEmit Tests for net\nEmit Tests for net/af_unix\nEmit Tests for net/forwarding\nEmit Tests for net/mptcp\nEmit Tests for net/openvswitch\nEmit Tests for netfilter\nEmit Tests for nsfs\nEmit Tests for pidfd\nEmit Tests for pid_namespace\nSkipping non-existent dir: powerpc\nEmit Tests for proc\nEmit Tests for pstore\nEmit Tests for ptrace\nEmit Tests for openat2\nEmit Tests for resctrl\nEmit Tests for rlimits\nEmit Tests for rseq\nEmit Tests for rtc\nEmit Tests for seccomp\nEmit Tests for sgx\nEmit Tests for sigaltstack\nEmit Tests for size\nSkipping non-existent dir: sparc64\nEmit Tests for splice\nEmit Tests for static_keys\nEmit Tests for sync\nEmit Tests for syscall_user_dispatch\nEmit Tests for sysctl\nSkipping non-existent dir: tc-testing\nEmit Tests for timens\nEmit Tests for timers\nEmit Tests for tmpfs\nEmit Tests for tpm2\nEmit Tests for user\nEmit Tests for vDSO\nSkipping non-existent dir: vm\nEmit Tests for x86\nEmit Tests for zram\nCreated /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz\n+ echo job:build_kselftest=done\n++ date +%s\n+ echo jobets:build_kselftest=1727050257\n+ echo -----log:package_kimage-----\n-----log:package_kimage-----\n+ echo job:package_kimage=running\n++ date +%s\n+ echo jobsts:package_kimage=1727050257\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/i386/boot/bzImage ../artifacts\n+ cd ..\n+ echo job:package_kimage=done\n++ date +%s\n+ echo jobets:package_kimage=1727050257\n+ echo -----log:package_modules-----\n-----log:package_modules-----\n+ echo job:package_modules=running\n++ date +%s\n+ echo jobsts:package_modules=1727050257\n+ cd /tmp/kci/linux\n+ set +e\n+ grep CONFIG_MODULES=y .config\n+ \'[\' 1 -eq 0 \']\'\n+ echo \'No modules to install\'\nNo modules to install\n+ cd ..\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050257\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050257\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050257\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:11:09 AM UTC [INFO] Received an event for node: 66f0b103bca8c035cac2c1a7 09/23/2024 12:11:09 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b103bca8c035cac2c1a7', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:27.760000+00:00', 'architecture': 'i386', 'compiler': 'gcc-12', 'config_name': 'tinyconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b103bca8c035cac2c1a7-kbuild-gcc-12-i386-tinyc-6dqap2tg', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'bzimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/bzImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-tinyconfig-66f0b103bca8c035cac2c1a7/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'inks /tmp/kci/linux/tools/testing/selftests/size/get_size /tmp/kci/linux/tools/testing/selftests/kselftest_install/size/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nrsync -a --copy-unsafe-links default_file_splice_read.sh short_splice_read.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read /tmp/kci/linux/tools/testing/selftests/splice/splice_read /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links config settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nrsync -a --copy-unsafe-links test_static_keys.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/sync/sync_test /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_benchmark /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nrsync -a --copy-unsafe-links sysctl.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\n/bin/sh: 1: llc: not found\nclang -I. -I/include/uapi -Wno-compare-distinct-pointer-types \\\n\t -O2 -target bpf -emit-llvm -c action.c -o - | \\\nllc -march=bpf -mcpu=probe -filetype=obj -o /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o\n/bin/sh: 1: clang: not found\n/bin/sh: 3: llc: not found\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nmake[2]: *** [Makefile:26: /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o] Error 127\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/timens /tmp/kci/linux/tools/testing/selftests/timens/timerfd /tmp/kci/linux/tools/testing/selftests/timens/timer /tmp/kci/linux/tools/testing/selftests/timens/clock_nanosleep /tmp/kci/linux/tools/testing/selftests/timens/procfs /tmp/kci/linux/tools/testing/selftests/timens/exec /tmp/kci/linux/tools/testing/selftests/timens/futex /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/gettime_perf /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/posix_timers /tmp/kci/linux/tools/testing/selftests/timers/nanosleep /tmp/kci/linux/tools/testing/selftests/timers/nsleep-lat /tmp/kci/linux/tools/testing/selftests/timers/set-timer-lat /tmp/kci/linux/tools/testing/selftests/timers/mqueue-lat /tmp/kci/linux/tools/testing/selftests/timers/inconsistency-check /tmp/kci/linux/tools/testing/selftests/timers/raw_skew /tmp/kci/linux/tools/testing/selftests/timers/threadtest /tmp/kci/linux/tools/testing/selftests/timers/rtcpie /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/alarmtimer-suspend /tmp/kci/linux/tools/testing/selftests/timers/valid-adjtimex /tmp/kci/linux/tools/testing/selftests/timers/adjtick /tmp/kci/linux/tools/testing/selftests/timers/change_skew /tmp/kci/linux/tools/testing/selftests/timers/skew_consistency /tmp/kci/linux/tools/testing/selftests/timers/clocksource-switch /tmp/kci/linux/tools/testing/selftests/timers/freq-step /tmp/kci/linux/tools/testing/selftests/timers/leap-a-day /tmp/kci/linux/tools/testing/selftests/timers/leapcrash /tmp/kci/linux/tools/testing/selftests/timers/set-tai /tmp/kci/linux/tools/testing/selftests/timers/set-2038 /tmp/kci/linux/tools/testing/selftests/timers/set-tz /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile /tmp/kci/linux/tools/testing/selftests/kselftest_install/tmpfs/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nrsync -a --copy-unsafe-links test_smoke.sh test_space.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links tpm2.py tpm2_tests.py /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nrsync -a --copy-unsafe-links test_user_copy.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_getcpu /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_abi /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_clock_getres /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_correctness /tmp/kci/linux/tools/testing/selftests/kselftest_install/vDSO/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\ngcc -Wall -I /tmp/kci/linux/tools/testing/selftests/../../.. -isystem /tmp/kci/linux/usr/include -no-pie map_hugetlb.c -lrt -lpthread -o /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb\nmap_hugetlb.c: In function ‘main’:\nmap_hugetlb.c:79:25: warning: implicit declaration of function ‘default_huge_page_size’ [-Wimplicit-function-declaration]\n 79 | hugepage_size = default_huge_page_size();\n | ^~~~~~~~~~~~~~~~~~~~~~\n/usr/bin/ld: /tmp/cc6CorYn.o: in function `main\':\nmap_hugetlb.c:(.text+0x104): undefined reference to `default_huge_page_size\'\ncollect2: error: ld returned 1 exit status\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_32 /tmp/kci/linux/tools/testing/selftests/x86/sysret_ss_attrs_32 /tmp/kci/linux/tools/testing/selftests/x86/syscall_nt_32 /tmp/kci/linux/tools/testing/selftests/x86/test_mremap_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/check_initial_reg_state_32 /tmp/kci/linux/tools/testing/selftests/x86/sigreturn_32 /tmp/kci/linux/tools/testing/selftests/x86/iopl_32 /tmp/kci/linux/tools/testing/selftests/x86/ioperm_32 /tmp/kci/linux/tools/testing/selftests/x86/test_vsyscall_32 /tmp/kci/linux/tools/testing/selftests/x86/mov_ss_trap_32 /tmp/kci/linux/tools/testing/selftests/x86/syscall_arg_fault_32 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_restore_32 /tmp/kci/linux/tools/testing/selftests/x86/sigaltstack_32 /tmp/kci/linux/tools/testing/selftests/x86/entry_from_vm86_32 /tmp/kci/linux/tools/testing/selftests/x86/test_syscall_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/unwind_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FCMOV_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FCOMI_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FISTTP_32 /tmp/kci/linux/tools/testing/selftests/x86/vdso_restorer_32 /tmp/kci/linux/tools/testing/selftests/x86/ldt_gdt_32 /tmp/kci/linux/tools/testing/selftests/x86/ptrace_syscall_32 /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_64 /tmp/kci/linux/tools/testing/selftests/x86/sysret_ss_attrs_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_nt_64 /tmp/kci/linux/tools/testing/selftests/x86/test_mremap_vdso_64 /tmp/kci/linux/tools/testing/selftests/x86/check_initial_reg_state_64 /tmp/kci/linux/tools/testing/selftests/x86/sigreturn_64 /tmp/kci/linux/tools/testing/selftests/x86/iopl_64 /tmp/kci/linux/tools/testing/selftests/x86/ioperm_64 /tmp/kci/linux/tools/testing/selftests/x86/test_vsyscall_64 /tmp/kci/linux/tools/testing/selftests/x86/mov_ss_trap_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_arg_fault_64 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_restore_64 /tmp/kci/linux/tools/testing/selftests/x86/sigaltstack_64 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_64 /tmp/kci/linux/tools/testing/selftests/x86/sysret_rip_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_numbering_64 /tmp/kci/linux/tools/testing/selftests/x86/corrupt_xstate_header_64 /tmp/kci/linux/tools/testing/selftests/x86/amx_64 /tmp/kci/linux/tools/testing/selftests/x86/ldt_gdt_64 /tmp/kci/linux/tools/testing/selftests/x86/ptrace_syscall_64 /tmp/kci/linux/tools/testing/selftests/kselftest_install/x86/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nrsync -a --copy-unsafe-links zram.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links zram01.sh zram02.sh zram_lib.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nfor TARGET in alsa amd-pstate arm64 breakpoints capabilities cgroup clone3 core cpufreq cpu-hotplug damon drivers/dma-buf drivers/s390x/uvdevice drivers/net/bonding drivers/net/team efivarfs exec filesystems filesystems/binderfs filesystems/epoll filesystems/fat firmware fpu ftrace futex gpio intel_pstate ipc ir kcmp kexec kvm landlock lib livepatch lkdtm membarrier memfd memory-hotplug mincore mount mount_setattr move_mount_set_group mqueue nci net net/af_unix net/forwarding net/mptcp net/openvswitch netfilter nsfs pidfd pid_namespace powerpc proc pstore ptrace openat2 resctrl rlimits rseq rtc seccomp sgx sigaltstack size sparc64 splice static_keys sync syscall_user_dispatch sysctl tc-testing timens timers tmpfs tpm2 user vDSO vm x86 zram; do \\\n\tBUILD_TARGET=$BUILD/$TARGET;\t\\\n\t[ ! -d /tmp/kci/linux/tools/testing/selftests/kselftest_install/$TARGET ] && printf "Skipping non-existent dir: $TARGET\\n" && continue; \\\n\tprintf "Emit Tests for $TARGET\\n"; \\\n\tmake -s --no-print-directory OUTPUT=$BUILD_TARGET COLLECTION=$TARGET \\\n\t\t-C $TARGET emit_tests >> /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-list.txt; \\\ndone;\nEmit Tests for alsa\nEmit Tests for amd-pstate\nSkipping non-existent dir: arm64\nEmit Tests for breakpoints\nEmit Tests for capabilities\nEmit Tests for cgroup\nEmit Tests for clone3\nEmit Tests for core\nEmit Tests for cpufreq\nEmit Tests for cpu-hotplug\nEmit Tests for damon\nEmit Tests for drivers/dma-buf\nSkipping non-existent dir: drivers/s390x/uvdevice\nEmit Tests for drivers/net/bonding\nEmit Tests for drivers/net/team\nEmit Tests for efivarfs\nEmit Tests for exec\nEmit Tests for filesystems\nEmit Tests for filesystems/binderfs\nEmit Tests for filesystems/epoll\nEmit Tests for filesystems/fat\nEmit Tests for firmware\nEmit Tests for fpu\nEmit Tests for ftrace\nEmit Tests for futex\nEmit Tests for gpio\nEmit Tests for intel_pstate\nEmit Tests for ipc\nEmit Tests for ir\nEmit Tests for kcmp\nEmit Tests for kexec\nSkipping non-existent dir: kvm\nEmit Tests for landlock\nEmit Tests for lib\nEmit Tests for livepatch\nEmit Tests for lkdtm\nEmit Tests for membarrier\nEmit Tests for memfd\nEmit Tests for memory-hotplug\nEmit Tests for mincore\nEmit Tests for mount\nEmit Tests for mount_setattr\nEmit Tests for move_mount_set_group\nEmit Tests for mqueue\nEmit Tests for nci\nEmit Tests for net\nEmit Tests for net/af_unix\nEmit Tests for net/forwarding\nEmit Tests for net/mptcp\nEmit Tests for net/openvswitch\nEmit Tests for netfilter\nEmit Tests for nsfs\nEmit Tests for pidfd\nEmit Tests for pid_namespace\nSkipping non-existent dir: powerpc\nEmit Tests for proc\nEmit Tests for pstore\nEmit Tests for ptrace\nEmit Tests for openat2\nEmit Tests for resctrl\nEmit Tests for rlimits\nEmit Tests for rseq\nEmit Tests for rtc\nEmit Tests for seccomp\nEmit Tests for sgx\nEmit Tests for sigaltstack\nEmit Tests for size\nSkipping non-existent dir: sparc64\nEmit Tests for splice\nEmit Tests for static_keys\nEmit Tests for sync\nEmit Tests for syscall_user_dispatch\nEmit Tests for sysctl\nSkipping non-existent dir: tc-testing\nEmit Tests for timens\nEmit Tests for timers\nEmit Tests for tmpfs\nEmit Tests for tpm2\nEmit Tests for user\nEmit Tests for vDSO\nSkipping non-existent dir: vm\nEmit Tests for x86\nEmit Tests for zram\nCreated /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz\n+ echo job:build_kselftest=done\n++ date +%s\n+ echo jobets:build_kselftest=1727050265\n+ echo -----log:package_kimage-----\n-----log:package_kimage-----\n+ echo job:package_kimage=running\n++ date +%s\n+ echo jobsts:package_kimage=1727050265\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/i386/boot/bzImage ../artifacts\n+ cd ..\n+ echo job:package_kimage=done\n++ date +%s\n+ echo jobets:package_kimage=1727050265\n+ echo -----log:package_modules-----\n-----log:package_modules-----\n+ echo job:package_modules=running\n++ date +%s\n+ echo jobsts:package_modules=1727050265\n+ cd /tmp/kci/linux\n+ set +e\n+ grep CONFIG_MODULES=y .config\n+ \'[\' 1 -eq 0 \']\'\n+ echo \'No modules to install\'\nNo modules to install\n+ cd ..\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050265\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050265\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050265\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:11:47 AM UTC [INFO] Received an event for node: 66f0b0ffbca8c035cac2c1a2 09/23/2024 12:11:47 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b0ffbca8c035cac2c1a2', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:23.722000+00:00', 'architecture': 'arm', 'compiler': 'gcc-12', 'config_name': 'vexpress_defconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b0ffbca8c035cac2c1a2-kbuild-gcc-12-arm-vexpre-4msof5xn', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'zimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/build_dtbs.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/build_dtbs_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/zImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-vexpress_defconfig-66f0b0ffbca8c035cac2c1a2/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'omp/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/seccomp\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sgx\'\nmake[2]: Nothing to be done for \'install\'.\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sgx\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sigaltstack\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/sigaltstack/sas /tmp/kci/linux/tools/testing/selftests/kselftest_install/sigaltstack/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sigaltstack\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/size/get_size /tmp/kci/linux/tools/testing/selftests/kselftest_install/size/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nrsync -a --copy-unsafe-links default_file_splice_read.sh short_splice_read.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read /tmp/kci/linux/tools/testing/selftests/splice/splice_read /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links config settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nrsync -a --copy-unsafe-links test_static_keys.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/sync/sync_test /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_benchmark /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nrsync -a --copy-unsafe-links sysctl.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\n/bin/sh: 1: llc: not found\nclang -I. -I/include/uapi -Wno-compare-distinct-pointer-types \\\n\t -O2 -target bpf -emit-llvm -c action.c -o - | \\\nllc -march=bpf -mcpu=probe -filetype=obj -o /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o\n/bin/sh: 1: clang: not found\n/bin/sh: 3: llc: not found\nmake[2]: *** [Makefile:26: /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o] Error 127\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/timens /tmp/kci/linux/tools/testing/selftests/timens/timerfd /tmp/kci/linux/tools/testing/selftests/timens/timer /tmp/kci/linux/tools/testing/selftests/timens/clock_nanosleep /tmp/kci/linux/tools/testing/selftests/timens/procfs /tmp/kci/linux/tools/testing/selftests/timens/exec /tmp/kci/linux/tools/testing/selftests/timens/futex /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/gettime_perf /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/posix_timers /tmp/kci/linux/tools/testing/selftests/timers/nanosleep /tmp/kci/linux/tools/testing/selftests/timers/nsleep-lat /tmp/kci/linux/tools/testing/selftests/timers/set-timer-lat /tmp/kci/linux/tools/testing/selftests/timers/mqueue-lat /tmp/kci/linux/tools/testing/selftests/timers/inconsistency-check /tmp/kci/linux/tools/testing/selftests/timers/raw_skew /tmp/kci/linux/tools/testing/selftests/timers/threadtest /tmp/kci/linux/tools/testing/selftests/timers/rtcpie /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/alarmtimer-suspend /tmp/kci/linux/tools/testing/selftests/timers/valid-adjtimex /tmp/kci/linux/tools/testing/selftests/timers/adjtick /tmp/kci/linux/tools/testing/selftests/timers/change_skew /tmp/kci/linux/tools/testing/selftests/timers/skew_consistency /tmp/kci/linux/tools/testing/selftests/timers/clocksource-switch /tmp/kci/linux/tools/testing/selftests/timers/freq-step /tmp/kci/linux/tools/testing/selftests/timers/leap-a-day /tmp/kci/linux/tools/testing/selftests/timers/leapcrash /tmp/kci/linux/tools/testing/selftests/timers/set-tai /tmp/kci/linux/tools/testing/selftests/timers/set-2038 /tmp/kci/linux/tools/testing/selftests/timers/set-tz /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile /tmp/kci/linux/tools/testing/selftests/kselftest_install/tmpfs/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nrsync -a --copy-unsafe-links test_smoke.sh test_space.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links tpm2.py tpm2_tests.py /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nrsync -a --copy-unsafe-links test_user_copy.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_getcpu /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_abi /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_clock_getres /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_correctness /tmp/kci/linux/tools/testing/selftests/kselftest_install/vDSO/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\narm-linux-gnueabihf-gcc -Wall -I /tmp/kci/linux/tools/testing/selftests/../../.. -isystem /tmp/kci/linux/usr/include -no-pie map_hugetlb.c -lrt -lpthread -o /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb\nmap_hugetlb.c: In function ‘main’:\nmap_hugetlb.c:79:25: warning: implicit declaration of function ‘default_huge_page_size’ [-Wimplicit-function-declaration]\n 79 | hugepage_size = default_huge_page_size();\n | ^~~~~~~~~~~~~~~~~~~~~~\n/usr/lib/gcc-cross/arm-linux-gnueabihf/12/../../../../arm-linux-gnueabihf/bin/ld: /tmp/ccRvKL1d.o: in function `main\':\nmap_hugetlb.c:(.text+0xcc): undefined reference to `default_huge_page_size\'\ncollect2: error: ld returned 1 exit status\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Nothing to be done for \'install\'.\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nrsync -a --copy-unsafe-links zram.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links zram01.sh zram02.sh zram_lib.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nfor TARGET in alsa amd-pstate arm64 breakpoints capabilities cgroup clone3 core cpufreq cpu-hotplug damon drivers/dma-buf drivers/s390x/uvdevice drivers/net/bonding drivers/net/team efivarfs exec filesystems filesystems/binderfs filesystems/epoll filesystems/fat firmware fpu ftrace futex gpio intel_pstate ipc ir kcmp kexec kvm landlock lib livepatch lkdtm membarrier memfd memory-hotplug mincore mount mount_setattr move_mount_set_group mqueue nci net net/af_unix net/forwarding net/mptcp net/openvswitch netfilter nsfs pidfd pid_namespace powerpc proc pstore ptrace openat2 resctrl rlimits rseq rtc seccomp sgx sigaltstack size sparc64 splice static_keys sync syscall_user_dispatch sysctl tc-testing timens timers tmpfs tpm2 user vDSO vm x86 zram; do \\\n\tBUILD_TARGET=$BUILD/$TARGET;\t\\\n\t[ ! -d /tmp/kci/linux/tools/testing/selftests/kselftest_install/$TARGET ] && printf "Skipping non-existent dir: $TARGET\\n" && continue; \\\n\tprintf "Emit Tests for $TARGET\\n"; \\\n\tmake -s --no-print-directory OUTPUT=$BUILD_TARGET COLLECTION=$TARGET \\\n\t\t-C $TARGET emit_tests >> /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-list.txt; \\\ndone;\nEmit Tests for alsa\nEmit Tests for amd-pstate\nSkipping non-existent dir: arm64\nEmit Tests for breakpoints\nEmit Tests for capabilities\nEmit Tests for cgroup\nEmit Tests for clone3\nEmit Tests for core\nEmit Tests for cpufreq\nEmit Tests for cpu-hotplug\nEmit Tests for damon\nEmit Tests for drivers/dma-buf\nSkipping non-existent dir: drivers/s390x/uvdevice\nEmit Tests for drivers/net/bonding\nEmit Tests for drivers/net/team\nEmit Tests for efivarfs\nEmit Tests for exec\nEmit Tests for filesystems\nEmit Tests for filesystems/binderfs\nEmit Tests for filesystems/epoll\nEmit Tests for filesystems/fat\nEmit Tests for firmware\nEmit Tests for fpu\nEmit Tests for ftrace\nEmit Tests for futex\nEmit Tests for gpio\nEmit Tests for intel_pstate\nEmit Tests for ipc\nEmit Tests for ir\nEmit Tests for kcmp\nSkipping non-existent dir: kexec\nSkipping non-existent dir: kvm\nEmit Tests for landlock\nEmit Tests for lib\nEmit Tests for livepatch\nEmit Tests for lkdtm\nEmit Tests for membarrier\nEmit Tests for memfd\nEmit Tests for memory-hotplug\nEmit Tests for mincore\nEmit Tests for mount\nEmit Tests for mount_setattr\nEmit Tests for move_mount_set_group\nEmit Tests for mqueue\nEmit Tests for nci\nEmit Tests for net\nEmit Tests for net/af_unix\nEmit Tests for net/forwarding\nEmit Tests for net/mptcp\nEmit Tests for net/openvswitch\nEmit Tests for netfilter\nEmit Tests for nsfs\nEmit Tests for pidfd\nEmit Tests for pid_namespace\nSkipping non-existent dir: powerpc\nSkipping non-existent dir: proc\nEmit Tests for pstore\nEmit Tests for ptrace\nEmit Tests for openat2\nEmit Tests for resctrl\nEmit Tests for rlimits\nEmit Tests for rseq\nEmit Tests for rtc\nEmit Tests for seccomp\nSkipping non-existent dir: sgx\nEmit Tests for sigaltstack\nEmit Tests for size\nSkipping non-existent dir: sparc64\nEmit Tests for splice\nEmit Tests for static_keys\nEmit Tests for sync\nEmit Tests for syscall_user_dispatch\nEmit Tests for sysctl\nSkipping non-existent dir: tc-testing\nEmit Tests for timens\nEmit Tests for timers\nEmit Tests for tmpfs\nEmit Tests for tpm2\nEmit Tests for user\nEmit Tests for vDSO\nSkipping non-existent dir: vm\nSkipping non-existent dir: x86\nEmit Tests for zram\nCreated /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz\n+ echo job:build_kselftest=done\n++ date +%s\n+ echo jobets:build_kselftest=1727050300\n+ echo -----log:build_dtbs-----\n-----log:build_dtbs-----\n+ echo job:build_dtbs=running\n++ date +%s\n+ echo jobsts:build_dtbs=1727050300\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n++ nproc\n+ make -j8 dtbs\n++ tee /tmp/kci/artifacts/build_dtbs.log\n++ tee /tmp/kci/artifacts/build_dtbs_stderr.log\n DTC arch/arm/boot/dts/vexpress-v2p-ca5s.dtb\n DTC arch/arm/boot/dts/vexpress-v2p-ca9.dtb\n DTC arch/arm/boot/dts/vexpress-v2p-ca15-tc1.dtb\n DTC arch/arm/boot/dts/vexpress-v2p-ca15_a7.dtb\n+ cd ..\n+ echo job:build_dtbs=done\n++ date +%s\n+ echo jobets:build_dtbs=1727050301\n+ echo -----log:package_kimage-----\n-----log:package_kimage-----\n+ echo job:package_kimage=running\n++ date +%s\n+ echo jobsts:package_kimage=1727050301\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/arm/boot/xipImage ../artifacts\ncp: cannot stat \'arch/arm/boot/xipImage\': No such file or directory\n+ true\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/arm/boot/zImage ../artifacts\n+ cd ..\n+ echo job:package_kimage=done\n++ date +%s\n+ echo jobets:package_kimage=1727050301\n+ echo -----log:package_modules-----\n-----log:package_modules-----\n+ echo job:package_modules=running\n++ date +%s\n+ echo jobsts:package_modules=1727050301\n+ cd /tmp/kci/linux\n+ set +e\n+ grep CONFIG_MODULES=y .config\nCONFIG_MODULES=y\n+ \'[\' 0 -eq 0 \']\'\n+ set -e\n+ make modules_install\n DEPMOD _modules_/lib/modules/6.1.111\n+ tar -C _modules_ -cJf /tmp/kci/artifacts/modules.tar.xz .\n+ cd ..\n+ rm -rf _modules_\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050301\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050301\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050301\n+ echo -----log:package_dtbs-----\n-----log:package_dtbs-----\n+ echo job:package_dtbs=running\n++ date +%s\n+ echo jobsts:package_dtbs=1727050301\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ make dtbs_install\n INSTALL _dtbs_/vexpress-v2p-ca5s.dtb\n INSTALL _dtbs_/vexpress-v2p-ca9.dtb\n INSTALL _dtbs_/vexpress-v2p-ca15-tc1.dtb\n INSTALL _dtbs_/vexpress-v2p-ca15_a7.dtb\n+ mkdir -p /tmp/kci/artifacts/dtbs\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp -r _dtbs_/vexpress-v2p-ca15-tc1.dtb _dtbs_/vexpress-v2p-ca15_a7.dtb _dtbs_/vexpress-v2p-ca5s.dtb _dtbs_/vexpress-v2p-ca9.dtb /tmp/kci/artifacts/dtbs\n+ cd ..\n+ echo job:package_dtbs=done\n++ date +%s\n+ echo jobets:package_dtbs=1727050301\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:12:10 AM UTC [INFO] Received an event for node: 66f0b105bca8c035cac2c1aa 09/23/2024 12:12:11 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b105bca8c035cac2c1aa', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:29.838000+00:00', 'architecture': 'riscv', 'compiler': 'gcc-12', 'config_name': 'nommu_k210_defconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b105bca8c035cac2c1aa-kbuild-gcc-12-riscv-nomm-j7whtkfv', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'image'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/build_dtbs.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/build_dtbs_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/Image?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-nommu_k210_defconfig-66f0b105bca8c035cac2c1aa/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'nu/12/../../../../riscv64-linux-gnu/bin/ld: cannot find -lcap: No such file or directory\ncollect2: error: ld returned 1 exit status\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/seccomp/seccomp_bpf] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/seccomp\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sgx\'\nmake[2]: Nothing to be done for \'install\'.\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sgx\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sigaltstack\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/sigaltstack/sas /tmp/kci/linux/tools/testing/selftests/kselftest_install/sigaltstack/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sigaltstack\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/size/get_size /tmp/kci/linux/tools/testing/selftests/kselftest_install/size/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nrsync -a --copy-unsafe-links default_file_splice_read.sh short_splice_read.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read /tmp/kci/linux/tools/testing/selftests/splice/splice_read /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links config settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nrsync -a --copy-unsafe-links test_static_keys.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/sync/sync_test /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_benchmark /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nrsync -a --copy-unsafe-links sysctl.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\n/bin/sh: 1: llc: not found\nclang -I. -I/include/uapi -Wno-compare-distinct-pointer-types \\\n\t -O2 -target bpf -emit-llvm -c action.c -o - | \\\nllc -march=bpf -mcpu=probe -filetype=obj -o /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o\n/bin/sh: 1: clang: not found\n/bin/sh: 3: llc: not found\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nmake[2]: *** [Makefile:26: /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o] Error 127\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/timens /tmp/kci/linux/tools/testing/selftests/timens/timerfd /tmp/kci/linux/tools/testing/selftests/timens/timer /tmp/kci/linux/tools/testing/selftests/timens/clock_nanosleep /tmp/kci/linux/tools/testing/selftests/timens/procfs /tmp/kci/linux/tools/testing/selftests/timens/exec /tmp/kci/linux/tools/testing/selftests/timens/futex /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/gettime_perf /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/posix_timers /tmp/kci/linux/tools/testing/selftests/timers/nanosleep /tmp/kci/linux/tools/testing/selftests/timers/nsleep-lat /tmp/kci/linux/tools/testing/selftests/timers/set-timer-lat /tmp/kci/linux/tools/testing/selftests/timers/mqueue-lat /tmp/kci/linux/tools/testing/selftests/timers/inconsistency-check /tmp/kci/linux/tools/testing/selftests/timers/raw_skew /tmp/kci/linux/tools/testing/selftests/timers/threadtest /tmp/kci/linux/tools/testing/selftests/timers/rtcpie /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/alarmtimer-suspend /tmp/kci/linux/tools/testing/selftests/timers/valid-adjtimex /tmp/kci/linux/tools/testing/selftests/timers/adjtick /tmp/kci/linux/tools/testing/selftests/timers/change_skew /tmp/kci/linux/tools/testing/selftests/timers/skew_consistency /tmp/kci/linux/tools/testing/selftests/timers/clocksource-switch /tmp/kci/linux/tools/testing/selftests/timers/freq-step /tmp/kci/linux/tools/testing/selftests/timers/leap-a-day /tmp/kci/linux/tools/testing/selftests/timers/leapcrash /tmp/kci/linux/tools/testing/selftests/timers/set-tai /tmp/kci/linux/tools/testing/selftests/timers/set-2038 /tmp/kci/linux/tools/testing/selftests/timers/set-tz /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile /tmp/kci/linux/tools/testing/selftests/kselftest_install/tmpfs/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nrsync -a --copy-unsafe-links test_smoke.sh test_space.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links tpm2.py tpm2_tests.py /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nrsync -a --copy-unsafe-links test_user_copy.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_getcpu /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_abi /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_clock_getres /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_correctness /tmp/kci/linux/tools/testing/selftests/kselftest_install/vDSO/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nriscv64-linux-gnu-gcc -Wall -I /tmp/kci/linux/tools/testing/selftests/../../.. -isystem /tmp/kci/linux/usr/include -no-pie map_hugetlb.c -lrt -lpthread -o /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb\nmap_hugetlb.c: In function ‘main’:\nmap_hugetlb.c:79:25: warning: implicit declaration of function ‘default_huge_page_size’ [-Wimplicit-function-declaration]\n 79 | hugepage_size = default_huge_page_size();\n | ^~~~~~~~~~~~~~~~~~~~~~\n/usr/lib/gcc-cross/riscv64-linux-gnu/12/../../../../riscv64-linux-gnu/bin/ld: /tmp/ccqN39nA.o: in function `main\':\nmap_hugetlb.c:(.text+0x10c): undefined reference to `default_huge_page_size\'\ncollect2: error: ld returned 1 exit status\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Nothing to be done for \'install\'.\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nrsync -a --copy-unsafe-links zram.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links zram01.sh zram02.sh zram_lib.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nfor TARGET in alsa amd-pstate arm64 breakpoints capabilities cgroup clone3 core cpufreq cpu-hotplug damon drivers/dma-buf drivers/s390x/uvdevice drivers/net/bonding drivers/net/team efivarfs exec filesystems filesystems/binderfs filesystems/epoll filesystems/fat firmware fpu ftrace futex gpio intel_pstate ipc ir kcmp kexec kvm landlock lib livepatch lkdtm membarrier memfd memory-hotplug mincore mount mount_setattr move_mount_set_group mqueue nci net net/af_unix net/forwarding net/mptcp net/openvswitch netfilter nsfs pidfd pid_namespace powerpc proc pstore ptrace openat2 resctrl rlimits rseq rtc seccomp sgx sigaltstack size sparc64 splice static_keys sync syscall_user_dispatch sysctl tc-testing timens timers tmpfs tpm2 user vDSO vm x86 zram; do \\\n\tBUILD_TARGET=$BUILD/$TARGET;\t\\\n\t[ ! -d /tmp/kci/linux/tools/testing/selftests/kselftest_install/$TARGET ] && printf "Skipping non-existent dir: $TARGET\\n" && continue; \\\n\tprintf "Emit Tests for $TARGET\\n"; \\\n\tmake -s --no-print-directory OUTPUT=$BUILD_TARGET COLLECTION=$TARGET \\\n\t\t-C $TARGET emit_tests >> /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-list.txt; \\\ndone;\nSkipping non-existent dir: alsa\nEmit Tests for amd-pstate\nSkipping non-existent dir: arm64\nEmit Tests for breakpoints\nSkipping non-existent dir: capabilities\nEmit Tests for cgroup\nSkipping non-existent dir: clone3\nEmit Tests for core\nEmit Tests for cpufreq\nEmit Tests for cpu-hotplug\nEmit Tests for damon\nEmit Tests for drivers/dma-buf\nSkipping non-existent dir: drivers/s390x/uvdevice\nEmit Tests for drivers/net/bonding\nEmit Tests for drivers/net/team\nEmit Tests for efivarfs\nEmit Tests for exec\nEmit Tests for filesystems\nEmit Tests for filesystems/binderfs\nEmit Tests for filesystems/epoll\nEmit Tests for filesystems/fat\nEmit Tests for firmware\nEmit Tests for fpu\nEmit Tests for ftrace\nEmit Tests for futex\nEmit Tests for gpio\nEmit Tests for intel_pstate\nEmit Tests for ipc\nEmit Tests for ir\nEmit Tests for kcmp\nSkipping non-existent dir: kexec\nSkipping non-existent dir: kvm\nSkipping non-existent dir: landlock\nEmit Tests for lib\nEmit Tests for livepatch\nEmit Tests for lkdtm\nEmit Tests for membarrier\nSkipping non-existent dir: memfd\nEmit Tests for memory-hotplug\nEmit Tests for mincore\nEmit Tests for mount\nEmit Tests for mount_setattr\nEmit Tests for move_mount_set_group\nSkipping non-existent dir: mqueue\nEmit Tests for nci\nEmit Tests for net\nEmit Tests for net/af_unix\nEmit Tests for net/forwarding\nEmit Tests for net/mptcp\nEmit Tests for net/openvswitch\nSkipping non-existent dir: netfilter\nEmit Tests for nsfs\nEmit Tests for pidfd\nEmit Tests for pid_namespace\nSkipping non-existent dir: powerpc\nSkipping non-existent dir: proc\nEmit Tests for pstore\nEmit Tests for ptrace\nSkipping non-existent dir: openat2\nEmit Tests for resctrl\nEmit Tests for rlimits\nEmit Tests for rseq\nEmit Tests for rtc\nSkipping non-existent dir: seccomp\nSkipping non-existent dir: sgx\nEmit Tests for sigaltstack\nEmit Tests for size\nSkipping non-existent dir: sparc64\nEmit Tests for splice\nEmit Tests for static_keys\nEmit Tests for sync\nEmit Tests for syscall_user_dispatch\nEmit Tests for sysctl\nSkipping non-existent dir: tc-testing\nEmit Tests for timens\nEmit Tests for timers\nEmit Tests for tmpfs\nEmit Tests for tpm2\nEmit Tests for user\nEmit Tests for vDSO\nSkipping non-existent dir: vm\nSkipping non-existent dir: x86\nEmit Tests for zram\nCreated /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz\n+ echo job:build_kselftest=done\n++ date +%s\n+ echo jobets:build_kselftest=1727050324\n+ echo -----log:build_dtbs-----\n-----log:build_dtbs-----\n+ echo job:build_dtbs=running\n++ date +%s\n+ echo jobsts:build_dtbs=1727050324\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n++ nproc\n+ make -j8 dtbs\n++ tee /tmp/kci/artifacts/build_dtbs.log\n++ tee /tmp/kci/artifacts/build_dtbs_stderr.log\n+ cd ..\n+ echo job:build_dtbs=done\n++ date +%s\n+ echo jobets:build_dtbs=1727050325\n+ echo -----log:package_kimage-----\n-----log:package_kimage-----\n+ echo job:package_kimage=running\n++ date +%s\n+ echo jobsts:package_kimage=1727050325\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/riscv/boot/Image.gz ../artifacts\ncp: cannot stat \'arch/riscv/boot/Image.gz\': No such file or directory\n+ true\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/riscv/boot/Image ../artifacts\n+ cd ..\n+ echo job:package_kimage=done\n++ date +%s\n+ echo jobets:package_kimage=1727050325\n+ echo -----log:package_modules-----\n-----log:package_modules-----\n+ echo job:package_modules=running\n++ date +%s\n+ echo jobsts:package_modules=1727050325\n+ cd /tmp/kci/linux\n+ set +e\n+ grep CONFIG_MODULES=y .config\n+ \'[\' 1 -eq 0 \']\'\n+ echo \'No modules to install\'\nNo modules to install\n+ cd ..\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050325\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050325\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050325\n+ echo -----log:package_dtbs-----\n-----log:package_dtbs-----\n+ echo job:package_dtbs=running\n++ date +%s\n+ echo jobsts:package_dtbs=1727050325\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ make dtbs_install\n INSTALL _dtbs_/canaan/canaan_kd233.dtb\n INSTALL _dtbs_/canaan/k210_generic.dtb\n INSTALL _dtbs_/canaan/sipeed_maix_bit.dtb\n INSTALL _dtbs_/canaan/sipeed_maix_dock.dtb\n INSTALL _dtbs_/canaan/sipeed_maix_go.dtb\n INSTALL _dtbs_/canaan/sipeed_maixduino.dtb\n+ mkdir -p /tmp/kci/artifacts/dtbs\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp -r _dtbs_/canaan /tmp/kci/artifacts/dtbs\n+ cd ..\n+ echo job:package_dtbs=done\n++ date +%s\n+ echo jobets:package_dtbs=1727050325\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:12:39 AM UTC [INFO] Received an event for node: 66f0b106bca8c035cac2c1ac 09/23/2024 12:12:40 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b106bca8c035cac2c1ac', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:30.714000+00:00', 'architecture': 'x86_64', 'compiler': 'gcc-12', 'config_name': 'allnoconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b106bca8c035cac2c1ac-kbuild-gcc-12-x86-allnoc-ybftlezj', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'bzimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/bzImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-allnoconfig-66f0b106bca8c035cac2c1ac/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'x/tools/testing/selftests/kselftest_install/size/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nrsync -a --copy-unsafe-links default_file_splice_read.sh short_splice_read.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read /tmp/kci/linux/tools/testing/selftests/splice/splice_read /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links config settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nrsync -a --copy-unsafe-links test_static_keys.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/sync/sync_test /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_benchmark /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nrsync -a --copy-unsafe-links sysctl.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\n/bin/sh: 1: llc: not found\nclang -I. -I/include/uapi -Wno-compare-distinct-pointer-types \\\n\t -O2 -target bpf -emit-llvm -c action.c -o - | \\\nllc -march=bpf -mcpu=probe -filetype=obj -o /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o\n/bin/sh: 1: clang: not found\n/bin/sh: 3: llc: not found\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nmake[2]: *** [Makefile:26: /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o] Error 127\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/timens /tmp/kci/linux/tools/testing/selftests/timens/timerfd /tmp/kci/linux/tools/testing/selftests/timens/timer /tmp/kci/linux/tools/testing/selftests/timens/clock_nanosleep /tmp/kci/linux/tools/testing/selftests/timens/procfs /tmp/kci/linux/tools/testing/selftests/timens/exec /tmp/kci/linux/tools/testing/selftests/timens/futex /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/gettime_perf /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/posix_timers /tmp/kci/linux/tools/testing/selftests/timers/nanosleep /tmp/kci/linux/tools/testing/selftests/timers/nsleep-lat /tmp/kci/linux/tools/testing/selftests/timers/set-timer-lat /tmp/kci/linux/tools/testing/selftests/timers/mqueue-lat /tmp/kci/linux/tools/testing/selftests/timers/inconsistency-check /tmp/kci/linux/tools/testing/selftests/timers/raw_skew /tmp/kci/linux/tools/testing/selftests/timers/threadtest /tmp/kci/linux/tools/testing/selftests/timers/rtcpie /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/alarmtimer-suspend /tmp/kci/linux/tools/testing/selftests/timers/valid-adjtimex /tmp/kci/linux/tools/testing/selftests/timers/adjtick /tmp/kci/linux/tools/testing/selftests/timers/change_skew /tmp/kci/linux/tools/testing/selftests/timers/skew_consistency /tmp/kci/linux/tools/testing/selftests/timers/clocksource-switch /tmp/kci/linux/tools/testing/selftests/timers/freq-step /tmp/kci/linux/tools/testing/selftests/timers/leap-a-day /tmp/kci/linux/tools/testing/selftests/timers/leapcrash /tmp/kci/linux/tools/testing/selftests/timers/set-tai /tmp/kci/linux/tools/testing/selftests/timers/set-2038 /tmp/kci/linux/tools/testing/selftests/timers/set-tz /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile /tmp/kci/linux/tools/testing/selftests/kselftest_install/tmpfs/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nrsync -a --copy-unsafe-links test_smoke.sh test_space.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links tpm2.py tpm2_tests.py /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nrsync -a --copy-unsafe-links test_user_copy.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_getcpu /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_abi /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_clock_getres /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_standalone_test_x86 /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_correctness /tmp/kci/linux/tools/testing/selftests/kselftest_install/vDSO/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\ngcc -Wall -I /tmp/kci/linux/tools/testing/selftests/../../.. -isystem /tmp/kci/linux/usr/include -no-pie map_hugetlb.c -lrt -lpthread -o /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb\nmap_hugetlb.c: In function ‘main’:\nmap_hugetlb.c:79:25: warning: implicit declaration of function ‘default_huge_page_size’ [-Wimplicit-function-declaration]\n 79 | hugepage_size = default_huge_page_size();\n | ^~~~~~~~~~~~~~~~~~~~~~\n/usr/bin/ld: /tmp/ccyPyYg8.o: in function `main\':\nmap_hugetlb.c:(.text+0x104): undefined reference to `default_huge_page_size\'\ncollect2: error: ld returned 1 exit status\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_32 /tmp/kci/linux/tools/testing/selftests/x86/sysret_ss_attrs_32 /tmp/kci/linux/tools/testing/selftests/x86/syscall_nt_32 /tmp/kci/linux/tools/testing/selftests/x86/test_mremap_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/check_initial_reg_state_32 /tmp/kci/linux/tools/testing/selftests/x86/sigreturn_32 /tmp/kci/linux/tools/testing/selftests/x86/iopl_32 /tmp/kci/linux/tools/testing/selftests/x86/ioperm_32 /tmp/kci/linux/tools/testing/selftests/x86/test_vsyscall_32 /tmp/kci/linux/tools/testing/selftests/x86/mov_ss_trap_32 /tmp/kci/linux/tools/testing/selftests/x86/syscall_arg_fault_32 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_restore_32 /tmp/kci/linux/tools/testing/selftests/x86/sigaltstack_32 /tmp/kci/linux/tools/testing/selftests/x86/entry_from_vm86_32 /tmp/kci/linux/tools/testing/selftests/x86/test_syscall_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/unwind_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FCMOV_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FCOMI_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FISTTP_32 /tmp/kci/linux/tools/testing/selftests/x86/vdso_restorer_32 /tmp/kci/linux/tools/testing/selftests/x86/ldt_gdt_32 /tmp/kci/linux/tools/testing/selftests/x86/ptrace_syscall_32 /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_64 /tmp/kci/linux/tools/testing/selftests/x86/sysret_ss_attrs_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_nt_64 /tmp/kci/linux/tools/testing/selftests/x86/test_mremap_vdso_64 /tmp/kci/linux/tools/testing/selftests/x86/check_initial_reg_state_64 /tmp/kci/linux/tools/testing/selftests/x86/sigreturn_64 /tmp/kci/linux/tools/testing/selftests/x86/iopl_64 /tmp/kci/linux/tools/testing/selftests/x86/ioperm_64 /tmp/kci/linux/tools/testing/selftests/x86/test_vsyscall_64 /tmp/kci/linux/tools/testing/selftests/x86/mov_ss_trap_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_arg_fault_64 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_restore_64 /tmp/kci/linux/tools/testing/selftests/x86/sigaltstack_64 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_64 /tmp/kci/linux/tools/testing/selftests/x86/sysret_rip_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_numbering_64 /tmp/kci/linux/tools/testing/selftests/x86/corrupt_xstate_header_64 /tmp/kci/linux/tools/testing/selftests/x86/amx_64 /tmp/kci/linux/tools/testing/selftests/x86/ldt_gdt_64 /tmp/kci/linux/tools/testing/selftests/x86/ptrace_syscall_64 /tmp/kci/linux/tools/testing/selftests/kselftest_install/x86/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nrsync -a --copy-unsafe-links zram.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links zram01.sh zram02.sh zram_lib.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nfor TARGET in alsa amd-pstate arm64 breakpoints capabilities cgroup clone3 core cpufreq cpu-hotplug damon drivers/dma-buf drivers/s390x/uvdevice drivers/net/bonding drivers/net/team efivarfs exec filesystems filesystems/binderfs filesystems/epoll filesystems/fat firmware fpu ftrace futex gpio intel_pstate ipc ir kcmp kexec kvm landlock lib livepatch lkdtm membarrier memfd memory-hotplug mincore mount mount_setattr move_mount_set_group mqueue nci net net/af_unix net/forwarding net/mptcp net/openvswitch netfilter nsfs pidfd pid_namespace powerpc proc pstore ptrace openat2 resctrl rlimits rseq rtc seccomp sgx sigaltstack size sparc64 splice static_keys sync syscall_user_dispatch sysctl tc-testing timens timers tmpfs tpm2 user vDSO vm x86 zram; do \\\n\tBUILD_TARGET=$BUILD/$TARGET;\t\\\n\t[ ! -d /tmp/kci/linux/tools/testing/selftests/kselftest_install/$TARGET ] && printf "Skipping non-existent dir: $TARGET\\n" && continue; \\\n\tprintf "Emit Tests for $TARGET\\n"; \\\n\tmake -s --no-print-directory OUTPUT=$BUILD_TARGET COLLECTION=$TARGET \\\n\t\t-C $TARGET emit_tests >> /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-list.txt; \\\ndone;\nEmit Tests for alsa\nEmit Tests for amd-pstate\nSkipping non-existent dir: arm64\nEmit Tests for breakpoints\nEmit Tests for capabilities\nEmit Tests for cgroup\nEmit Tests for clone3\nEmit Tests for core\nEmit Tests for cpufreq\nEmit Tests for cpu-hotplug\nEmit Tests for damon\nEmit Tests for drivers/dma-buf\nSkipping non-existent dir: drivers/s390x/uvdevice\nEmit Tests for drivers/net/bonding\nEmit Tests for drivers/net/team\nEmit Tests for efivarfs\nEmit Tests for exec\nEmit Tests for filesystems\nEmit Tests for filesystems/binderfs\nEmit Tests for filesystems/epoll\nEmit Tests for filesystems/fat\nEmit Tests for firmware\nEmit Tests for fpu\nEmit Tests for ftrace\nEmit Tests for futex\nEmit Tests for gpio\nEmit Tests for intel_pstate\nEmit Tests for ipc\nEmit Tests for ir\nEmit Tests for kcmp\nEmit Tests for kexec\nSkipping non-existent dir: kvm\nEmit Tests for landlock\nEmit Tests for lib\nEmit Tests for livepatch\nEmit Tests for lkdtm\nEmit Tests for membarrier\nEmit Tests for memfd\nEmit Tests for memory-hotplug\nEmit Tests for mincore\nEmit Tests for mount\nEmit Tests for mount_setattr\nEmit Tests for move_mount_set_group\nEmit Tests for mqueue\nEmit Tests for nci\nEmit Tests for net\nEmit Tests for net/af_unix\nEmit Tests for net/forwarding\nEmit Tests for net/mptcp\nEmit Tests for net/openvswitch\nEmit Tests for netfilter\nEmit Tests for nsfs\nEmit Tests for pidfd\nEmit Tests for pid_namespace\nSkipping non-existent dir: powerpc\nEmit Tests for proc\nEmit Tests for pstore\nEmit Tests for ptrace\nEmit Tests for openat2\nEmit Tests for resctrl\nEmit Tests for rlimits\nEmit Tests for rseq\nEmit Tests for rtc\nEmit Tests for seccomp\nEmit Tests for sgx\nEmit Tests for sigaltstack\nEmit Tests for size\nSkipping non-existent dir: sparc64\nEmit Tests for splice\nEmit Tests for static_keys\nEmit Tests for sync\nEmit Tests for syscall_user_dispatch\nEmit Tests for sysctl\nSkipping non-existent dir: tc-testing\nEmit Tests for timens\nEmit Tests for timers\nEmit Tests for tmpfs\nEmit Tests for tpm2\nEmit Tests for user\nEmit Tests for vDSO\nSkipping non-existent dir: vm\nEmit Tests for x86\nEmit Tests for zram\nCreated /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz\n+ echo job:build_kselftest=done\n++ date +%s\n+ echo jobets:build_kselftest=1727050356\n+ echo -----log:package_kimage-----\n-----log:package_kimage-----\n+ echo job:package_kimage=running\n++ date +%s\n+ echo jobsts:package_kimage=1727050356\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/x86_64/boot/bzImage ../artifacts\n+ cd ..\n+ echo job:package_kimage=done\n++ date +%s\n+ echo jobets:package_kimage=1727050356\n+ echo -----log:package_modules-----\n-----log:package_modules-----\n+ echo job:package_modules=running\n++ date +%s\n+ echo jobsts:package_modules=1727050356\n+ cd /tmp/kci/linux\n+ set +e\n+ grep CONFIG_MODULES=y .config\n+ \'[\' 1 -eq 0 \']\'\n+ echo \'No modules to install\'\nNo modules to install\n+ cd ..\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050356\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050356\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050356\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:13:17 AM UTC [INFO] Received an event for node: 66f0b108bca8c035cac2c1ae 09/23/2024 12:13:18 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b108bca8c035cac2c1ae', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:32.194000+00:00', 'architecture': 'x86_64', 'compiler': 'gcc-12', 'config_name': 'tinyconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b108bca8c035cac2c1ae-kbuild-gcc-12-x86-tinyco-bf4yv9sm', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'bzimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/bzImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-x86-tinyconfig-66f0b108bca8c035cac2c1ae/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'x/tools/testing/selftests/kselftest_install/size/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nrsync -a --copy-unsafe-links default_file_splice_read.sh short_splice_read.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read /tmp/kci/linux/tools/testing/selftests/splice/splice_read /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links config settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nrsync -a --copy-unsafe-links test_static_keys.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/sync/sync_test /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_benchmark /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nrsync -a --copy-unsafe-links sysctl.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\n/bin/sh: 1: llc: not found\nclang -I. -I/include/uapi -Wno-compare-distinct-pointer-types \\\n\t -O2 -target bpf -emit-llvm -c action.c -o - | \\\nllc -march=bpf -mcpu=probe -filetype=obj -o /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o\n/bin/sh: 1: clang: not found\n/bin/sh: 3: llc: not found\nmake[2]: *** [Makefile:26: /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o] Error 127\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/timens /tmp/kci/linux/tools/testing/selftests/timens/timerfd /tmp/kci/linux/tools/testing/selftests/timens/timer /tmp/kci/linux/tools/testing/selftests/timens/clock_nanosleep /tmp/kci/linux/tools/testing/selftests/timens/procfs /tmp/kci/linux/tools/testing/selftests/timens/exec /tmp/kci/linux/tools/testing/selftests/timens/futex /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/gettime_perf /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/posix_timers /tmp/kci/linux/tools/testing/selftests/timers/nanosleep /tmp/kci/linux/tools/testing/selftests/timers/nsleep-lat /tmp/kci/linux/tools/testing/selftests/timers/set-timer-lat /tmp/kci/linux/tools/testing/selftests/timers/mqueue-lat /tmp/kci/linux/tools/testing/selftests/timers/inconsistency-check /tmp/kci/linux/tools/testing/selftests/timers/raw_skew /tmp/kci/linux/tools/testing/selftests/timers/threadtest /tmp/kci/linux/tools/testing/selftests/timers/rtcpie /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/alarmtimer-suspend /tmp/kci/linux/tools/testing/selftests/timers/valid-adjtimex /tmp/kci/linux/tools/testing/selftests/timers/adjtick /tmp/kci/linux/tools/testing/selftests/timers/change_skew /tmp/kci/linux/tools/testing/selftests/timers/skew_consistency /tmp/kci/linux/tools/testing/selftests/timers/clocksource-switch /tmp/kci/linux/tools/testing/selftests/timers/freq-step /tmp/kci/linux/tools/testing/selftests/timers/leap-a-day /tmp/kci/linux/tools/testing/selftests/timers/leapcrash /tmp/kci/linux/tools/testing/selftests/timers/set-tai /tmp/kci/linux/tools/testing/selftests/timers/set-2038 /tmp/kci/linux/tools/testing/selftests/timers/set-tz /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile /tmp/kci/linux/tools/testing/selftests/kselftest_install/tmpfs/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nrsync -a --copy-unsafe-links test_smoke.sh test_space.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links tpm2.py tpm2_tests.py /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nrsync -a --copy-unsafe-links test_user_copy.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_getcpu /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_abi /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_clock_getres /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_standalone_test_x86 /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_correctness /tmp/kci/linux/tools/testing/selftests/kselftest_install/vDSO/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\ngcc -Wall -I /tmp/kci/linux/tools/testing/selftests/../../.. -isystem /tmp/kci/linux/usr/include -no-pie map_hugetlb.c -lrt -lpthread -o /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb\nmap_hugetlb.c: In function ‘main’:\nmap_hugetlb.c:79:25: warning: implicit declaration of function ‘default_huge_page_size’ [-Wimplicit-function-declaration]\n 79 | hugepage_size = default_huge_page_size();\n | ^~~~~~~~~~~~~~~~~~~~~~\n/usr/bin/ld: /tmp/cc1QHs8G.o: in function `main\':\nmap_hugetlb.c:(.text+0x104): undefined reference to `default_huge_page_size\'\ncollect2: error: ld returned 1 exit status\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_32 /tmp/kci/linux/tools/testing/selftests/x86/sysret_ss_attrs_32 /tmp/kci/linux/tools/testing/selftests/x86/syscall_nt_32 /tmp/kci/linux/tools/testing/selftests/x86/test_mremap_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/check_initial_reg_state_32 /tmp/kci/linux/tools/testing/selftests/x86/sigreturn_32 /tmp/kci/linux/tools/testing/selftests/x86/iopl_32 /tmp/kci/linux/tools/testing/selftests/x86/ioperm_32 /tmp/kci/linux/tools/testing/selftests/x86/test_vsyscall_32 /tmp/kci/linux/tools/testing/selftests/x86/mov_ss_trap_32 /tmp/kci/linux/tools/testing/selftests/x86/syscall_arg_fault_32 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_restore_32 /tmp/kci/linux/tools/testing/selftests/x86/sigaltstack_32 /tmp/kci/linux/tools/testing/selftests/x86/entry_from_vm86_32 /tmp/kci/linux/tools/testing/selftests/x86/test_syscall_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/unwind_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FCMOV_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FCOMI_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FISTTP_32 /tmp/kci/linux/tools/testing/selftests/x86/vdso_restorer_32 /tmp/kci/linux/tools/testing/selftests/x86/ldt_gdt_32 /tmp/kci/linux/tools/testing/selftests/x86/ptrace_syscall_32 /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_64 /tmp/kci/linux/tools/testing/selftests/x86/sysret_ss_attrs_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_nt_64 /tmp/kci/linux/tools/testing/selftests/x86/test_mremap_vdso_64 /tmp/kci/linux/tools/testing/selftests/x86/check_initial_reg_state_64 /tmp/kci/linux/tools/testing/selftests/x86/sigreturn_64 /tmp/kci/linux/tools/testing/selftests/x86/iopl_64 /tmp/kci/linux/tools/testing/selftests/x86/ioperm_64 /tmp/kci/linux/tools/testing/selftests/x86/test_vsyscall_64 /tmp/kci/linux/tools/testing/selftests/x86/mov_ss_trap_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_arg_fault_64 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_restore_64 /tmp/kci/linux/tools/testing/selftests/x86/sigaltstack_64 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_64 /tmp/kci/linux/tools/testing/selftests/x86/sysret_rip_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_numbering_64 /tmp/kci/linux/tools/testing/selftests/x86/corrupt_xstate_header_64 /tmp/kci/linux/tools/testing/selftests/x86/amx_64 /tmp/kci/linux/tools/testing/selftests/x86/ldt_gdt_64 /tmp/kci/linux/tools/testing/selftests/x86/ptrace_syscall_64 /tmp/kci/linux/tools/testing/selftests/kselftest_install/x86/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nrsync -a --copy-unsafe-links zram.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links zram01.sh zram02.sh zram_lib.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nfor TARGET in alsa amd-pstate arm64 breakpoints capabilities cgroup clone3 core cpufreq cpu-hotplug damon drivers/dma-buf drivers/s390x/uvdevice drivers/net/bonding drivers/net/team efivarfs exec filesystems filesystems/binderfs filesystems/epoll filesystems/fat firmware fpu ftrace futex gpio intel_pstate ipc ir kcmp kexec kvm landlock lib livepatch lkdtm membarrier memfd memory-hotplug mincore mount mount_setattr move_mount_set_group mqueue nci net net/af_unix net/forwarding net/mptcp net/openvswitch netfilter nsfs pidfd pid_namespace powerpc proc pstore ptrace openat2 resctrl rlimits rseq rtc seccomp sgx sigaltstack size sparc64 splice static_keys sync syscall_user_dispatch sysctl tc-testing timens timers tmpfs tpm2 user vDSO vm x86 zram; do \\\n\tBUILD_TARGET=$BUILD/$TARGET;\t\\\n\t[ ! -d /tmp/kci/linux/tools/testing/selftests/kselftest_install/$TARGET ] && printf "Skipping non-existent dir: $TARGET\\n" && continue; \\\n\tprintf "Emit Tests for $TARGET\\n"; \\\n\tmake -s --no-print-directory OUTPUT=$BUILD_TARGET COLLECTION=$TARGET \\\n\t\t-C $TARGET emit_tests >> /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-list.txt; \\\ndone;\nEmit Tests for alsa\nEmit Tests for amd-pstate\nSkipping non-existent dir: arm64\nEmit Tests for breakpoints\nEmit Tests for capabilities\nEmit Tests for cgroup\nEmit Tests for clone3\nEmit Tests for core\nEmit Tests for cpufreq\nEmit Tests for cpu-hotplug\nEmit Tests for damon\nEmit Tests for drivers/dma-buf\nSkipping non-existent dir: drivers/s390x/uvdevice\nEmit Tests for drivers/net/bonding\nEmit Tests for drivers/net/team\nEmit Tests for efivarfs\nEmit Tests for exec\nEmit Tests for filesystems\nEmit Tests for filesystems/binderfs\nEmit Tests for filesystems/epoll\nEmit Tests for filesystems/fat\nEmit Tests for firmware\nEmit Tests for fpu\nEmit Tests for ftrace\nEmit Tests for futex\nEmit Tests for gpio\nEmit Tests for intel_pstate\nEmit Tests for ipc\nEmit Tests for ir\nEmit Tests for kcmp\nEmit Tests for kexec\nSkipping non-existent dir: kvm\nEmit Tests for landlock\nEmit Tests for lib\nEmit Tests for livepatch\nEmit Tests for lkdtm\nEmit Tests for membarrier\nEmit Tests for memfd\nEmit Tests for memory-hotplug\nEmit Tests for mincore\nEmit Tests for mount\nEmit Tests for mount_setattr\nEmit Tests for move_mount_set_group\nEmit Tests for mqueue\nEmit Tests for nci\nEmit Tests for net\nEmit Tests for net/af_unix\nEmit Tests for net/forwarding\nEmit Tests for net/mptcp\nEmit Tests for net/openvswitch\nEmit Tests for netfilter\nEmit Tests for nsfs\nEmit Tests for pidfd\nEmit Tests for pid_namespace\nSkipping non-existent dir: powerpc\nEmit Tests for proc\nEmit Tests for pstore\nEmit Tests for ptrace\nEmit Tests for openat2\nEmit Tests for resctrl\nEmit Tests for rlimits\nEmit Tests for rseq\nEmit Tests for rtc\nEmit Tests for seccomp\nEmit Tests for sgx\nEmit Tests for sigaltstack\nEmit Tests for size\nSkipping non-existent dir: sparc64\nEmit Tests for splice\nEmit Tests for static_keys\nEmit Tests for sync\nEmit Tests for syscall_user_dispatch\nEmit Tests for sysctl\nSkipping non-existent dir: tc-testing\nEmit Tests for timens\nEmit Tests for timers\nEmit Tests for tmpfs\nEmit Tests for tpm2\nEmit Tests for user\nEmit Tests for vDSO\nSkipping non-existent dir: vm\nEmit Tests for x86\nEmit Tests for zram\nCreated /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz\n+ echo job:build_kselftest=done\n++ date +%s\n+ echo jobets:build_kselftest=1727050393\n+ echo -----log:package_kimage-----\n-----log:package_kimage-----\n+ echo job:package_kimage=running\n++ date +%s\n+ echo jobsts:package_kimage=1727050393\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/x86_64/boot/bzImage ../artifacts\n+ cd ..\n+ echo job:package_kimage=done\n++ date +%s\n+ echo jobets:package_kimage=1727050393\n+ echo -----log:package_modules-----\n-----log:package_modules-----\n+ echo job:package_modules=running\n++ date +%s\n+ echo jobsts:package_modules=1727050393\n+ cd /tmp/kci/linux\n+ set +e\n+ grep CONFIG_MODULES=y .config\n+ \'[\' 1 -eq 0 \']\'\n+ echo \'No modules to install\'\nNo modules to install\n+ cd ..\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050393\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050393\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050393\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:13:36 AM UTC [INFO] Received an event for node: 66f0b104bca8c035cac2c1a8 09/23/2024 12:13:36 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b104bca8c035cac2c1a8', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:28.496000+00:00', 'architecture': 'mips', 'compiler': 'gcc-12', 'config_name': '32r2el_defconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b104bca8c035cac2c1a8-kbuild-gcc-12-mips-32r2e-pyrqdwbs', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'uimage.gz'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/build_dtbs.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/build_dtbs_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/uImage.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-mips-32r2el_defconfig-66f0b104bca8c035cac2c1a8/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ze\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nmips-linux-gnu-gcc default_file_splice_read.c -o /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read\nIn file included from /usr/include/features.h:392,\n from /usr/include/fcntl.h:25,\n from default_file_splice_read.c:3:\n/usr/include/features-time64.h:20:10: fatal error: bits/wordsize.h: No such file or directory\n 20 | #include \n | ^~~~~~~~~~~~~~~~~\ncompilation terminated.\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nrsync -a --copy-unsafe-links test_static_keys.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmips-linux-gnu-gcc -c sync_alloc.c -o /tmp/kci/linux/tools/testing/selftests/sync/sync_alloc.o\nIn file included from synctest.h:31,\n from sync_alloc.c:30:\n/usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory\n 27 | #include \n | ^~~~~~~~~~~~~~~~~~~~~~~~~~\ncompilation terminated.\nmake[2]: *** [Makefile:36: /tmp/kci/linux/tools/testing/selftests/sync/sync_alloc.o] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmips-linux-gnu-gcc -Wall -I/tmp/kci/linux/tools/testing/selftests/../../../usr/include/ sud_test.c -o /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test\nsud_test.c:9:10: fatal error: sys/prctl.h: No such file or directory\n 9 | #include \n | ^~~~~~~~~~~~~\ncompilation terminated.\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nrsync -a --copy-unsafe-links sysctl.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\n/bin/sh: 1: llc: not found\nclang -I. -I/include/uapi -Wno-compare-distinct-pointer-types \\\n\t -O2 -target bpf -emit-llvm -c action.c -o - | \\\nllc -march=bpf -mcpu=probe -filetype=obj -o /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o\n/bin/sh: 1: clang: not found\n/bin/sh: 3: llc: not found\nmake[2]: *** [Makefile:26: /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o] Error 127\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmips-linux-gnu-gcc -Wall -Werror -pthread timens.c -lrt -ldl -o /tmp/kci/linux/tools/testing/selftests/timens/timens\nIn file included from /usr/include/features.h:392,\n from /usr/include/errno.h:25,\n from timens.c:3:\n/usr/include/features-time64.h:20:10: fatal error: bits/wordsize.h: No such file or directory\n 20 | #include \n | ^~~~~~~~~~~~~~~~~\ncompilation terminated.\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/timens/timens] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmips-linux-gnu-gcc -O3 -Wl,-no-as-needed -Wall posix_timers.c -lrt -lpthread -lm -o /tmp/kci/linux/tools/testing/selftests/timers/posix_timers\nposix_timers.c:10:10: fatal error: sys/time.h: No such file or directory\n 10 | #include \n | ^~~~~~~~~~~~\ncompilation terminated.\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/timers/posix_timers] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmips-linux-gnu-gcc -Wall -O2 -D_GNU_SOURCE bug-link-o-tmpfile.c -o /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile\nIn file included from /usr/include/features.h:392,\n from /usr/include/errno.h:25,\n from bug-link-o-tmpfile.c:17:\n/usr/include/features-time64.h:20:10: fatal error: bits/wordsize.h: No such file or directory\n 20 | #include \n | ^~~~~~~~~~~~~~~~~\ncompilation terminated.\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nrsync -a --copy-unsafe-links test_smoke.sh test_space.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links tpm2.py tpm2_tests.py /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nrsync -a --copy-unsafe-links test_user_copy.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmips-linux-gnu-gcc -std=gnu99 vdso_test_gettimeofday.c parse_vdso.c -o /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday\nIn file included from /usr/lib/gcc-cross/mips-linux-gnu/12/include/stdint.h:9,\n from vdso_test_gettimeofday.c:13:\n/usr/include/stdint.h:26:10: fatal error: bits/libc-header-start.h: No such file or directory\n 26 | #include \n | ^~~~~~~~~~~~~~~~~~~~~~~~~~\ncompilation terminated.\nIn file included from /usr/lib/gcc-cross/mips-linux-gnu/12/include/stdint.h:9,\n from parse_vdso.c:19:\n/usr/include/stdint.h:26:10: fatal error: bits/libc-header-start.h: No such file or directory\n 26 | #include \n | ^~~~~~~~~~~~~~~~~~~~~~~~~~\ncompilation terminated.\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmips-linux-gnu-gcc -Wall -I /tmp/kci/linux/tools/testing/selftests/../../.. -isystem /tmp/kci/linux/usr/include madv_populate.c vm_util.c -lrt -lpthread -o /tmp/kci/linux/tools/testing/selftests/vm/madv_populate\nIn file included from madv_populate.c:10:\n/usr/include/stdlib.h:26:10: fatal error: bits/libc-header-start.h: No such file or directory\n 26 | #include \n | ^~~~~~~~~~~~~~~~~~~~~~~~~~\ncompilation terminated.\nIn file included from vm_util.c:2:\n/usr/include/string.h:26:10: fatal error: bits/libc-header-start.h: No such file or directory\n 26 | #include \n | ^~~~~~~~~~~~~~~~~~~~~~~~~~\ncompilation terminated.\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vm/madv_populate] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Nothing to be done for \'install\'.\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nrsync -a --copy-unsafe-links zram.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links zram01.sh zram02.sh zram_lib.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nfor TARGET in alsa amd-pstate arm64 breakpoints capabilities cgroup clone3 core cpufreq cpu-hotplug damon drivers/dma-buf drivers/s390x/uvdevice drivers/net/bonding drivers/net/team efivarfs exec filesystems filesystems/binderfs filesystems/epoll filesystems/fat firmware fpu ftrace futex gpio intel_pstate ipc ir kcmp kexec kvm landlock lib livepatch lkdtm membarrier memfd memory-hotplug mincore mount mount_setattr move_mount_set_group mqueue nci net net/af_unix net/forwarding net/mptcp net/openvswitch netfilter nsfs pidfd pid_namespace powerpc proc pstore ptrace openat2 resctrl rlimits rseq rtc seccomp sgx sigaltstack size sparc64 splice static_keys sync syscall_user_dispatch sysctl tc-testing timens timers tmpfs tpm2 user vDSO vm x86 zram; do \\\n\tBUILD_TARGET=$BUILD/$TARGET;\t\\\n\t[ ! -d /tmp/kci/linux/tools/testing/selftests/kselftest_install/$TARGET ] && printf "Skipping non-existent dir: $TARGET\\n" && continue; \\\n\tprintf "Emit Tests for $TARGET\\n"; \\\n\tmake -s --no-print-directory OUTPUT=$BUILD_TARGET COLLECTION=$TARGET \\\n\t\t-C $TARGET emit_tests >> /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-list.txt; \\\ndone;\nSkipping non-existent dir: alsa\nEmit Tests for amd-pstate\nSkipping non-existent dir: arm64\nSkipping non-existent dir: breakpoints\nSkipping non-existent dir: capabilities\nSkipping non-existent dir: cgroup\nSkipping non-existent dir: clone3\nSkipping non-existent dir: core\nEmit Tests for cpufreq\nEmit Tests for cpu-hotplug\nSkipping non-existent dir: damon\nSkipping non-existent dir: drivers/dma-buf\nSkipping non-existent dir: drivers/s390x/uvdevice\nEmit Tests for drivers/net/bonding\nEmit Tests for drivers/net/team\nSkipping non-existent dir: efivarfs\nSkipping non-existent dir: exec\nSkipping non-existent dir: filesystems\nSkipping non-existent dir: filesystems/binderfs\nSkipping non-existent dir: filesystems/epoll\nSkipping non-existent dir: filesystems/fat\nSkipping non-existent dir: firmware\nSkipping non-existent dir: fpu\nEmit Tests for ftrace\nEmit Tests for futex\nSkipping non-existent dir: gpio\nEmit Tests for intel_pstate\nSkipping non-existent dir: ipc\nSkipping non-existent dir: ir\nSkipping non-existent dir: kcmp\nSkipping non-existent dir: kexec\nSkipping non-existent dir: kvm\nSkipping non-existent dir: landlock\nEmit Tests for lib\nEmit Tests for livepatch\nEmit Tests for lkdtm\nSkipping non-existent dir: membarrier\nSkipping non-existent dir: memfd\nEmit Tests for memory-hotplug\nSkipping non-existent dir: mincore\nSkipping non-existent dir: mount\nSkipping non-existent dir: mount_setattr\nSkipping non-existent dir: move_mount_set_group\nSkipping non-existent dir: mqueue\nSkipping non-existent dir: nci\nEmit Tests for net\nSkipping non-existent dir: net/af_unix\nEmit Tests for net/forwarding\nSkipping non-existent dir: net/mptcp\nEmit Tests for net/openvswitch\nSkipping non-existent dir: netfilter\nSkipping non-existent dir: nsfs\nSkipping non-existent dir: pidfd\nSkipping non-existent dir: pid_namespace\nSkipping non-existent dir: powerpc\nSkipping non-existent dir: proc\nEmit Tests for pstore\nSkipping non-existent dir: ptrace\nSkipping non-existent dir: openat2\nSkipping non-existent dir: resctrl\nSkipping non-existent dir: rlimits\nSkipping non-existent dir: rseq\nSkipping non-existent dir: rtc\nSkipping non-existent dir: seccomp\nSkipping non-existent dir: sgx\nSkipping non-existent dir: sigaltstack\nSkipping non-existent dir: size\nSkipping non-existent dir: sparc64\nSkipping non-existent dir: splice\nEmit Tests for static_keys\nSkipping non-existent dir: sync\nSkipping non-existent dir: syscall_user_dispatch\nEmit Tests for sysctl\nSkipping non-existent dir: tc-testing\nSkipping non-existent dir: timens\nSkipping non-existent dir: timers\nSkipping non-existent dir: tmpfs\nEmit Tests for tpm2\nEmit Tests for user\nSkipping non-existent dir: vDSO\nSkipping non-existent dir: vm\nSkipping non-existent dir: x86\nEmit Tests for zram\nCreated /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz\n+ echo job:build_kselftest=done\n++ date +%s\n+ echo jobets:build_kselftest=1727050406\n+ echo -----log:build_dtbs-----\n-----log:build_dtbs-----\n+ echo job:build_dtbs=running\n++ date +%s\n+ echo jobsts:build_dtbs=1727050406\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n++ nproc\n+ make -j8 dtbs\n++ tee /tmp/kci/artifacts/build_dtbs.log\n++ tee /tmp/kci/artifacts/build_dtbs_stderr.log\n+ cd ..\n+ echo job:build_dtbs=done\n++ date +%s\n+ echo jobets:build_dtbs=1727050406\n+ echo -----log:package_kimage-----\n-----log:package_kimage-----\n+ echo job:package_kimage=running\n++ date +%s\n+ echo jobsts:package_kimage=1727050406\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/mips/boot/uImage.gz ../artifacts\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/mips/boot/vmlinuz ../artifacts\ncp: cannot stat \'arch/mips/boot/vmlinuz\': No such file or directory\n+ true\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/mips/boot/vmlinux.gz.itb ../artifacts\ncp: cannot stat \'arch/mips/boot/vmlinux.gz.itb\': No such file or directory\n+ true\n+ cd ..\n+ echo job:package_kimage=done\n++ date +%s\n+ echo jobets:package_kimage=1727050406\n+ echo -----log:package_modules-----\n-----log:package_modules-----\n+ echo job:package_modules=running\n++ date +%s\n+ echo jobsts:package_modules=1727050406\n+ cd /tmp/kci/linux\n+ set +e\n+ grep CONFIG_MODULES=y .config\nCONFIG_MODULES=y\n+ \'[\' 0 -eq 0 \']\'\n+ set -e\n+ make modules_install\n DEPMOD _modules_/lib/modules/6.1.111\n+ tar -C _modules_ -cJf /tmp/kci/artifacts/modules.tar.xz .\n+ cd ..\n+ rm -rf _modules_\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050406\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050406\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050406\n+ echo -----log:package_dtbs-----\n-----log:package_dtbs-----\n+ echo job:package_dtbs=running\n++ date +%s\n+ echo jobsts:package_dtbs=1727050406\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ make dtbs_install\nscripts/Makefile.dtbinst:36: target \'arch/mips/boot/dts/img\' given more than once in the same rule\n INSTALL _dtbs_/img/boston.dtb\n INSTALL _dtbs_/img/pistachio_marduk.dtb\n INSTALL _dtbs_/mscc/jaguar2_pcb110.dtb\n INSTALL _dtbs_/mscc/jaguar2_pcb111.dtb\n INSTALL _dtbs_/mscc/jaguar2_pcb118.dtb\n INSTALL _dtbs_/mscc/luton_pcb091.dtb\n INSTALL _dtbs_/mscc/ocelot_pcb120.dtb\n INSTALL _dtbs_/mscc/ocelot_pcb123.dtb\n INSTALL _dtbs_/mscc/serval_pcb105.dtb\n INSTALL _dtbs_/mscc/serval_pcb106.dtb\n INSTALL _dtbs_/mti/sead3.dtb\n INSTALL _dtbs_/ni/169445.dtb\n INSTALL _dtbs_/xilfpga/nexys4ddr.dtb\n+ mkdir -p /tmp/kci/artifacts/dtbs\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp -r _dtbs_/img _dtbs_/mscc _dtbs_/mti _dtbs_/ni _dtbs_/xilfpga /tmp/kci/artifacts/dtbs\n+ cd ..\n+ echo job:package_dtbs=done\n++ date +%s\n+ echo jobets:package_dtbs=1727050406\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:13:46 AM UTC [INFO] Received an event for node: 66f0b0febca8c035cac2c19f 09/23/2024 12:13:47 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b0febca8c035cac2c19f', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:22.003000+00:00', 'architecture': 'arm', 'compiler': 'gcc-12', 'config_name': 'multi_v5_defconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b0febca8c035cac2c19f-kbuild-gcc-12-arm-multi--5qxo87vl', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'zimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/build_dtbs.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/build_dtbs_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/zImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-multi_v5_defconfig-66f0b0febca8c035cac2c19f/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'b/modules/6.1.111\n+ tar -C _modules_ -cJf /tmp/kci/artifacts/modules.tar.xz .\n+ cd ..\n+ rm -rf _modules_\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050369\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050369\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050369\n+ echo -----log:package_dtbs-----\n-----log:package_dtbs-----\n+ echo job:package_dtbs=running\n++ date +%s\n+ echo jobsts:package_dtbs=1727050369\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ make dtbs_install\n INSTALL _dtbs_/animeo_ip.dtb\n INSTALL _dtbs_/at91-qil_a9260.dtb\n INSTALL _dtbs_/aks-cdu.dtb\n INSTALL _dtbs_/ethernut5.dtb\n INSTALL _dtbs_/evk-pro3.dtb\n INSTALL _dtbs_/tny_a9260.dtb\n INSTALL _dtbs_/usb_a9260.dtb\n INSTALL _dtbs_/at91sam9260ek.dtb\n INSTALL _dtbs_/at91sam9261ek.dtb\n INSTALL _dtbs_/at91sam9263ek.dtb\n INSTALL _dtbs_/at91-sam9_l9260.dtb\n INSTALL _dtbs_/tny_a9263.dtb\n INSTALL _dtbs_/usb_a9263.dtb\n INSTALL _dtbs_/at91-foxg20.dtb\n INSTALL _dtbs_/at91-kizbox.dtb\n INSTALL _dtbs_/at91-lmu5000.dtb\n INSTALL _dtbs_/at91sam9g20ek.dtb\n INSTALL _dtbs_/at91sam9g20ek_2mmc.dtb\n INSTALL _dtbs_/tny_a9g20.dtb\n INSTALL _dtbs_/usb_a9g20.dtb\n INSTALL _dtbs_/usb_a9g20_lpw.dtb\n INSTALL _dtbs_/at91sam9m10g45ek.dtb\n INSTALL _dtbs_/pm9g45.dtb\n INSTALL _dtbs_/at91sam9n12ek.dtb\n INSTALL _dtbs_/at91sam9rlek.dtb\n INSTALL _dtbs_/at91-ariag25.dtb\n INSTALL _dtbs_/at91-ariettag25.dtb\n INSTALL _dtbs_/at91-cosino_mega2560.dtb\n INSTALL _dtbs_/at91-kizboxmini-base.dtb\n INSTALL _dtbs_/at91-kizboxmini-mb.dtb\n INSTALL _dtbs_/at91-kizboxmini-rd.dtb\n INSTALL _dtbs_/at91-q5xr5.dtb\n INSTALL _dtbs_/at91-smartkiz.dtb\n INSTALL _dtbs_/at91-wb45n.dtb\n INSTALL _dtbs_/at91sam9g15ek.dtb\n INSTALL _dtbs_/at91sam9g25-gardena-smart-gateway.dtb\n INSTALL _dtbs_/at91sam9g25ek.dtb\n INSTALL _dtbs_/at91sam9g35ek.dtb\n INSTALL _dtbs_/at91sam9x25ek.dtb\n INSTALL _dtbs_/at91sam9x35ek.dtb\n INSTALL _dtbs_/da850-lcdk.dtb\n INSTALL _dtbs_/da850-enbw-cmc.dtb\n INSTALL _dtbs_/da850-evm.dtb\n INSTALL _dtbs_/da850-lego-ev3.dtb\n INSTALL _dtbs_/kirkwood-b3.dtb\n INSTALL _dtbs_/kirkwood-blackarmor-nas220.dtb\n INSTALL _dtbs_/kirkwood-c200-v1.dtb\n INSTALL _dtbs_/kirkwood-cloudbox.dtb\n INSTALL _dtbs_/kirkwood-d2net.dtb\n INSTALL _dtbs_/kirkwood-db-88f6281.dtb\n INSTALL _dtbs_/kirkwood-db-88f6282.dtb\n INSTALL _dtbs_/kirkwood-dir665.dtb\n INSTALL _dtbs_/kirkwood-dns320.dtb\n INSTALL _dtbs_/kirkwood-dns325.dtb\n INSTALL _dtbs_/kirkwood-dockstar.dtb\n INSTALL _dtbs_/kirkwood-dreamplug.dtb\n INSTALL _dtbs_/kirkwood-ds109.dtb\n INSTALL _dtbs_/kirkwood-ds110jv10.dtb\n INSTALL _dtbs_/kirkwood-ds111.dtb\n INSTALL _dtbs_/kirkwood-ds112.dtb\n INSTALL _dtbs_/kirkwood-ds209.dtb\n INSTALL _dtbs_/kirkwood-ds210.dtb\n INSTALL _dtbs_/kirkwood-ds212.dtb\n INSTALL _dtbs_/kirkwood-ds212j.dtb\n INSTALL _dtbs_/kirkwood-ds409.dtb\n INSTALL _dtbs_/kirkwood-ds409slim.dtb\n INSTALL _dtbs_/kirkwood-ds411.dtb\n INSTALL _dtbs_/kirkwood-ds411j.dtb\n INSTALL _dtbs_/kirkwood-ds411slim.dtb\n INSTALL _dtbs_/kirkwood-goflexnet.dtb\n INSTALL _dtbs_/kirkwood-guruplug-server-plus.dtb\n INSTALL _dtbs_/kirkwood-ib62x0.dtb\n INSTALL _dtbs_/kirkwood-iconnect.dtb\n INSTALL _dtbs_/kirkwood-iomega_ix2_200.dtb\n INSTALL _dtbs_/kirkwood-is2.dtb\n INSTALL _dtbs_/kirkwood-km_fixedeth.dtb\n INSTALL _dtbs_/kirkwood-km_kirkwood.dtb\n INSTALL _dtbs_/kirkwood-l-50.dtb\n INSTALL _dtbs_/kirkwood-laplug.dtb\n INSTALL _dtbs_/kirkwood-linkstation-lsqvl.dtb\n INSTALL _dtbs_/kirkwood-linkstation-lsvl.dtb\n INSTALL _dtbs_/kirkwood-linkstation-lswsxl.dtb\n INSTALL _dtbs_/kirkwood-linkstation-lswvl.dtb\n INSTALL _dtbs_/kirkwood-linkstation-lswxl.dtb\n INSTALL _dtbs_/kirkwood-linksys-viper.dtb\n INSTALL _dtbs_/kirkwood-lschlv2.dtb\n INSTALL _dtbs_/kirkwood-lsxhl.dtb\n INSTALL _dtbs_/kirkwood-mplcec4.dtb\n INSTALL _dtbs_/kirkwood-mv88f6281gtw-ge.dtb\n INSTALL _dtbs_/kirkwood-nas2big.dtb\n INSTALL _dtbs_/kirkwood-net2big.dtb\n INSTALL _dtbs_/kirkwood-net5big.dtb\n INSTALL _dtbs_/kirkwood-netgear_readynas_duo_v2.dtb\n INSTALL _dtbs_/kirkwood-netgear_readynas_nv+_v2.dtb\n INSTALL _dtbs_/kirkwood-ns2.dtb\n INSTALL _dtbs_/kirkwood-ns2lite.dtb\n INSTALL _dtbs_/kirkwood-ns2max.dtb\n INSTALL _dtbs_/kirkwood-ns2mini.dtb\n INSTALL _dtbs_/kirkwood-nsa310.dtb\n INSTALL _dtbs_/kirkwood-nsa310a.dtb\n INSTALL _dtbs_/kirkwood-nsa320.dtb\n INSTALL _dtbs_/kirkwood-nsa325.dtb\n INSTALL _dtbs_/kirkwood-openblocks_a6.dtb\n INSTALL _dtbs_/kirkwood-openblocks_a7.dtb\n INSTALL _dtbs_/kirkwood-openrd-base.dtb\n INSTALL _dtbs_/kirkwood-openrd-client.dtb\n INSTALL _dtbs_/kirkwood-openrd-ultimate.dtb\n INSTALL _dtbs_/kirkwood-pogo_e02.dtb\n INSTALL _dtbs_/kirkwood-pogoplug-series-4.dtb\n INSTALL _dtbs_/kirkwood-rd88f6192.dtb\n INSTALL _dtbs_/kirkwood-rd88f6281-z0.dtb\n INSTALL _dtbs_/kirkwood-rd88f6281-a.dtb\n INSTALL _dtbs_/kirkwood-rs212.dtb\n INSTALL _dtbs_/kirkwood-rs409.dtb\n INSTALL _dtbs_/kirkwood-rs411.dtb\n INSTALL _dtbs_/kirkwood-sheevaplug.dtb\n INSTALL _dtbs_/kirkwood-sheevaplug-esata.dtb\n INSTALL _dtbs_/kirkwood-t5325.dtb\n INSTALL _dtbs_/kirkwood-topkick.dtb\n INSTALL _dtbs_/kirkwood-ts219-6281.dtb\n INSTALL _dtbs_/kirkwood-ts219-6282.dtb\n INSTALL _dtbs_/kirkwood-ts419-6281.dtb\n INSTALL _dtbs_/kirkwood-ts419-6282.dtb\n INSTALL _dtbs_/nuvoton-wpcm450-supermicro-x9sci-ln4f.dtb\n INSTALL _dtbs_/imx25-eukrea-mbimxsd25-baseboard.dtb\n INSTALL _dtbs_/imx25-eukrea-mbimxsd25-baseboard-cmo-qvga.dtb\n INSTALL _dtbs_/imx25-eukrea-mbimxsd25-baseboard-dvi-svga.dtb\n INSTALL _dtbs_/imx25-eukrea-mbimxsd25-baseboard-dvi-vga.dtb\n INSTALL _dtbs_/imx25-karo-tx25.dtb\n INSTALL _dtbs_/imx25-pdk.dtb\n INSTALL _dtbs_/imx27-apf27.dtb\n INSTALL _dtbs_/imx27-apf27dev.dtb\n INSTALL _dtbs_/imx27-eukrea-mbimxsd27-baseboard.dtb\n INSTALL _dtbs_/imx27-pdk.dtb\n INSTALL _dtbs_/imx27-phytec-phycore-rdk.dtb\n INSTALL _dtbs_/imx27-phytec-phycard-s-rdk.dtb\n INSTALL _dtbs_/orion5x-kuroboxpro.dtb\n INSTALL _dtbs_/orion5x-lacie-d2-network.dtb\n INSTALL _dtbs_/orion5x-lacie-ethernet-disk-mini-v2.dtb\n INSTALL _dtbs_/orion5x-linkstation-lsgl.dtb\n INSTALL _dtbs_/orion5x-linkstation-lswtgl.dtb\n INSTALL _dtbs_/orion5x-linkstation-lschl.dtb\n INSTALL _dtbs_/orion5x-lswsgl.dtb\n INSTALL _dtbs_/orion5x-maxtor-shared-storage-2.dtb\n INSTALL _dtbs_/orion5x-netgear-wnr854t.dtb\n INSTALL _dtbs_/orion5x-rd88f5182-nas.dtb\n INSTALL _dtbs_/suniv-f1c100s-licheepi-nano.dtb\n INSTALL _dtbs_/versatile-ab.dtb\n INSTALL _dtbs_/versatile-ab-ib2.dtb\n INSTALL _dtbs_/versatile-pb.dtb\n INSTALL _dtbs_/aspeed-ast2500-evb.dtb\n INSTALL _dtbs_/aspeed-ast2600-evb-a1.dtb\n INSTALL _dtbs_/aspeed-ast2600-evb.dtb\n INSTALL _dtbs_/aspeed-bmc-amd-daytonax.dtb\n INSTALL _dtbs_/aspeed-bmc-amd-ethanolx.dtb\n INSTALL _dtbs_/aspeed-bmc-ampere-mtjade.dtb\n INSTALL _dtbs_/aspeed-bmc-ampere-mtmitchell.dtb\n INSTALL _dtbs_/aspeed-bmc-arm-stardragon4800-rep2.dtb\n INSTALL _dtbs_/aspeed-bmc-asrock-e3c246d4i.dtb\n INSTALL _dtbs_/aspeed-bmc-asrock-romed8hm3.dtb\n INSTALL _dtbs_/aspeed-bmc-bytedance-g220a.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-bletchley.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-cloudripper.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-cmm.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-elbert.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-fuji.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-galaxy100.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-minipack.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-tiogapass.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-wedge40.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-wedge100.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-wedge400.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-yamp.dtb\n INSTALL _dtbs_/aspeed-bmc-facebook-yosemitev2.dtb\n INSTALL _dtbs_/aspeed-bmc-ibm-everest.dtb\n INSTALL _dtbs_/aspeed-bmc-ibm-rainier.dtb\n INSTALL _dtbs_/aspeed-bmc-ibm-rainier-1s4u.dtb\n INSTALL _dtbs_/aspeed-bmc-ibm-rainier-4u.dtb\n INSTALL _dtbs_/aspeed-bmc-intel-s2600wf.dtb\n INSTALL _dtbs_/aspeed-bmc-inspur-fp5280g2.dtb\n INSTALL _dtbs_/aspeed-bmc-inspur-nf5280m6.dtb\n INSTALL _dtbs_/aspeed-bmc-inspur-on5263m5.dtb\n INSTALL _dtbs_/aspeed-bmc-lenovo-hr630.dtb\n INSTALL _dtbs_/aspeed-bmc-lenovo-hr855xg2.dtb\n INSTALL _dtbs_/aspeed-bmc-microsoft-olympus.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-lanyang.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-mihawk.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-mowgli.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-nicole.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-palmetto.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-romulus.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-swift.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-tacoma.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-vesnin.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-witherspoon.dtb\n INSTALL _dtbs_/aspeed-bmc-opp-zaius.dtb\n INSTALL _dtbs_/aspeed-bmc-portwell-neptune.dtb\n INSTALL _dtbs_/aspeed-bmc-qcom-dc-scm-v1.dtb\n INSTALL _dtbs_/aspeed-bmc-quanta-q71l.dtb\n INSTALL _dtbs_/aspeed-bmc-quanta-s6q.dtb\n INSTALL _dtbs_/aspeed-bmc-supermicro-x11spi.dtb\n INSTALL _dtbs_/aspeed-bmc-inventec-transformers.dtb\n INSTALL _dtbs_/aspeed-bmc-tyan-s7106.dtb\n INSTALL _dtbs_/aspeed-bmc-tyan-s8036.dtb\n INSTALL _dtbs_/aspeed-bmc-vegman-n110.dtb\n INSTALL _dtbs_/aspeed-bmc-vegman-rx20.dtb\n INSTALL _dtbs_/aspeed-bmc-vegman-sx20.dtb\n+ mkdir -p /tmp/kci/artifacts/dtbs\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp -r _dtbs_/aks-cdu.dtb _dtbs_/animeo_ip.dtb _dtbs_/aspeed-ast2500-evb.dtb _dtbs_/aspeed-ast2600-evb-a1.dtb _dtbs_/aspeed-ast2600-evb.dtb _dtbs_/aspeed-bmc-amd-daytonax.dtb _dtbs_/aspeed-bmc-amd-ethanolx.dtb _dtbs_/aspeed-bmc-ampere-mtjade.dtb _dtbs_/aspeed-bmc-ampere-mtmitchell.dtb _dtbs_/aspeed-bmc-arm-stardragon4800-rep2.dtb _dtbs_/aspeed-bmc-asrock-e3c246d4i.dtb _dtbs_/aspeed-bmc-asrock-romed8hm3.dtb _dtbs_/aspeed-bmc-bytedance-g220a.dtb _dtbs_/aspeed-bmc-facebook-bletchley.dtb _dtbs_/aspeed-bmc-facebook-cloudripper.dtb _dtbs_/aspeed-bmc-facebook-cmm.dtb _dtbs_/aspeed-bmc-facebook-elbert.dtb _dtbs_/aspeed-bmc-facebook-fuji.dtb _dtbs_/aspeed-bmc-facebook-galaxy100.dtb _dtbs_/aspeed-bmc-facebook-minipack.dtb _dtbs_/aspeed-bmc-facebook-tiogapass.dtb _dtbs_/aspeed-bmc-facebook-wedge100.dtb _dtbs_/aspeed-bmc-facebook-wedge40.dtb _dtbs_/aspeed-bmc-facebook-wedge400.dtb _dtbs_/aspeed-bmc-facebook-yamp.dtb _dtbs_/aspeed-bmc-facebook-yosemitev2.dtb _dtbs_/aspeed-bmc-ibm-everest.dtb _dtbs_/aspeed-bmc-ibm-rainier-1s4u.dtb _dtbs_/aspeed-bmc-ibm-rainier-4u.dtb _dtbs_/aspeed-bmc-ibm-rainier.dtb _dtbs_/aspeed-bmc-inspur-fp5280g2.dtb _dtbs_/aspeed-bmc-inspur-nf5280m6.dtb _dtbs_/aspeed-bmc-inspur-on5263m5.dtb _dtbs_/aspeed-bmc-intel-s2600wf.dtb _dtbs_/aspeed-bmc-inventec-transformers.dtb _dtbs_/aspeed-bmc-lenovo-hr630.dtb _dtbs_/aspeed-bmc-lenovo-hr855xg2.dtb _dtbs_/aspeed-bmc-microsoft-olympus.dtb _dtbs_/aspeed-bmc-opp-lanyang.dtb _dtbs_/aspeed-bmc-opp-mihawk.dtb _dtbs_/aspeed-bmc-opp-mowgli.dtb _dtbs_/aspeed-bmc-opp-nicole.dtb _dtbs_/aspeed-bmc-opp-palmetto.dtb _dtbs_/aspeed-bmc-opp-romulus.dtb _dtbs_/aspeed-bmc-opp-swift.dtb _dtbs_/aspeed-bmc-opp-tacoma.dtb _dtbs_/aspeed-bmc-opp-vesnin.dtb _dtbs_/aspeed-bmc-opp-witherspoon.dtb _dtbs_/aspeed-bmc-opp-zaius.dtb _dtbs_/aspeed-bmc-portwell-neptune.dtb _dtbs_/aspeed-bmc-qcom-dc-scm-v1.dtb _dtbs_/aspeed-bmc-quanta-q71l.dtb _dtbs_/aspeed-bmc-quanta-s6q.dtb _dtbs_/aspeed-bmc-supermicro-x11spi.dtb _dtbs_/aspeed-bmc-tyan-s7106.dtb _dtbs_/aspeed-bmc-tyan-s8036.dtb _dtbs_/aspeed-bmc-vegman-n110.dtb _dtbs_/aspeed-bmc-vegman-rx20.dtb _dtbs_/aspeed-bmc-vegman-sx20.dtb _dtbs_/at91-ariag25.dtb _dtbs_/at91-ariettag25.dtb _dtbs_/at91-cosino_mega2560.dtb _dtbs_/at91-foxg20.dtb _dtbs_/at91-kizbox.dtb _dtbs_/at91-kizboxmini-base.dtb _dtbs_/at91-kizboxmini-mb.dtb _dtbs_/at91-kizboxmini-rd.dtb _dtbs_/at91-lmu5000.dtb _dtbs_/at91-q5xr5.dtb _dtbs_/at91-qil_a9260.dtb _dtbs_/at91-sam9_l9260.dtb _dtbs_/at91-smartkiz.dtb _dtbs_/at91-wb45n.dtb _dtbs_/at91sam9260ek.dtb _dtbs_/at91sam9261ek.dtb _dtbs_/at91sam9263ek.dtb _dtbs_/at91sam9g15ek.dtb _dtbs_/at91sam9g20ek.dtb _dtbs_/at91sam9g20ek_2mmc.dtb _dtbs_/at91sam9g25-gardena-smart-gateway.dtb _dtbs_/at91sam9g25ek.dtb _dtbs_/at91sam9g35ek.dtb _dtbs_/at91sam9m10g45ek.dtb _dtbs_/at91sam9n12ek.dtb _dtbs_/at91sam9rlek.dtb _dtbs_/at91sam9x25ek.dtb _dtbs_/at91sam9x35ek.dtb _dtbs_/da850-enbw-cmc.dtb _dtbs_/da850-evm.dtb _dtbs_/da850-lcdk.dtb _dtbs_/da850-lego-ev3.dtb _dtbs_/ethernut5.dtb _dtbs_/evk-pro3.dtb _dtbs_/imx25-eukrea-mbimxsd25-baseboard-cmo-qvga.dtb _dtbs_/imx25-eukrea-mbimxsd25-baseboard-dvi-svga.dtb _dtbs_/imx25-eukrea-mbimxsd25-baseboard-dvi-vga.dtb _dtbs_/imx25-eukrea-mbimxsd25-baseboard.dtb _dtbs_/imx25-karo-tx25.dtb _dtbs_/imx25-pdk.dtb _dtbs_/imx27-apf27.dtb _dtbs_/imx27-apf27dev.dtb _dtbs_/imx27-eukrea-mbimxsd27-baseboard.dtb _dtbs_/imx27-pdk.dtb _dtbs_/imx27-phytec-phycard-s-rdk.dtb _dtbs_/imx27-phytec-phycore-rdk.dtb _dtbs_/kirkwood-b3.dtb _dtbs_/kirkwood-blackarmor-nas220.dtb _dtbs_/kirkwood-c200-v1.dtb _dtbs_/kirkwood-cloudbox.dtb _dtbs_/kirkwood-d2net.dtb _dtbs_/kirkwood-db-88f6281.dtb _dtbs_/kirkwood-db-88f6282.dtb _dtbs_/kirkwood-dir665.dtb _dtbs_/kirkwood-dns320.dtb _dtbs_/kirkwood-dns325.dtb _dtbs_/kirkwood-dockstar.dtb _dtbs_/kirkwood-dreamplug.dtb _dtbs_/kirkwood-ds109.dtb _dtbs_/kirkwood-ds110jv10.dtb _dtbs_/kirkwood-ds111.dtb _dtbs_/kirkwood-ds112.dtb _dtbs_/kirkwood-ds209.dtb _dtbs_/kirkwood-ds210.dtb _dtbs_/kirkwood-ds212.dtb _dtbs_/kirkwood-ds212j.dtb _dtbs_/kirkwood-ds409.dtb _dtbs_/kirkwood-ds409slim.dtb _dtbs_/kirkwood-ds411.dtb _dtbs_/kirkwood-ds411j.dtb _dtbs_/kirkwood-ds411slim.dtb _dtbs_/kirkwood-goflexnet.dtb _dtbs_/kirkwood-guruplug-server-plus.dtb _dtbs_/kirkwood-ib62x0.dtb _dtbs_/kirkwood-iconnect.dtb _dtbs_/kirkwood-iomega_ix2_200.dtb _dtbs_/kirkwood-is2.dtb _dtbs_/kirkwood-km_fixedeth.dtb _dtbs_/kirkwood-km_kirkwood.dtb _dtbs_/kirkwood-l-50.dtb _dtbs_/kirkwood-laplug.dtb _dtbs_/kirkwood-linkstation-lsqvl.dtb _dtbs_/kirkwood-linkstation-lsvl.dtb _dtbs_/kirkwood-linkstation-lswsxl.dtb _dtbs_/kirkwood-linkstation-lswvl.dtb _dtbs_/kirkwood-linkstation-lswxl.dtb _dtbs_/kirkwood-linksys-viper.dtb _dtbs_/kirkwood-lschlv2.dtb _dtbs_/kirkwood-lsxhl.dtb _dtbs_/kirkwood-mplcec4.dtb _dtbs_/kirkwood-mv88f6281gtw-ge.dtb _dtbs_/kirkwood-nas2big.dtb _dtbs_/kirkwood-net2big.dtb _dtbs_/kirkwood-net5big.dtb _dtbs_/kirkwood-netgear_readynas_duo_v2.dtb _dtbs_/kirkwood-netgear_readynas_nv+_v2.dtb _dtbs_/kirkwood-ns2.dtb _dtbs_/kirkwood-ns2lite.dtb _dtbs_/kirkwood-ns2max.dtb _dtbs_/kirkwood-ns2mini.dtb _dtbs_/kirkwood-nsa310.dtb _dtbs_/kirkwood-nsa310a.dtb _dtbs_/kirkwood-nsa320.dtb _dtbs_/kirkwood-nsa325.dtb _dtbs_/kirkwood-openblocks_a6.dtb _dtbs_/kirkwood-openblocks_a7.dtb _dtbs_/kirkwood-openrd-base.dtb _dtbs_/kirkwood-openrd-client.dtb _dtbs_/kirkwood-openrd-ultimate.dtb _dtbs_/kirkwood-pogo_e02.dtb _dtbs_/kirkwood-pogoplug-series-4.dtb _dtbs_/kirkwood-rd88f6192.dtb _dtbs_/kirkwood-rd88f6281-a.dtb _dtbs_/kirkwood-rd88f6281-z0.dtb _dtbs_/kirkwood-rs212.dtb _dtbs_/kirkwood-rs409.dtb _dtbs_/kirkwood-rs411.dtb _dtbs_/kirkwood-sheevaplug-esata.dtb _dtbs_/kirkwood-sheevaplug.dtb _dtbs_/kirkwood-t5325.dtb _dtbs_/kirkwood-topkick.dtb _dtbs_/kirkwood-ts219-6281.dtb _dtbs_/kirkwood-ts219-6282.dtb _dtbs_/kirkwood-ts419-6281.dtb _dtbs_/kirkwood-ts419-6282.dtb _dtbs_/nuvoton-wpcm450-supermicro-x9sci-ln4f.dtb _dtbs_/orion5x-kuroboxpro.dtb _dtbs_/orion5x-lacie-d2-network.dtb _dtbs_/orion5x-lacie-ethernet-disk-mini-v2.dtb _dtbs_/orion5x-linkstation-lschl.dtb _dtbs_/orion5x-linkstation-lsgl.dtb _dtbs_/orion5x-linkstation-lswtgl.dtb _dtbs_/orion5x-lswsgl.dtb _dtbs_/orion5x-maxtor-shared-storage-2.dtb _dtbs_/orion5x-netgear-wnr854t.dtb _dtbs_/orion5x-rd88f5182-nas.dtb _dtbs_/pm9g45.dtb _dtbs_/suniv-f1c100s-licheepi-nano.dtb _dtbs_/tny_a9260.dtb _dtbs_/tny_a9263.dtb _dtbs_/tny_a9g20.dtb _dtbs_/usb_a9260.dtb _dtbs_/usb_a9263.dtb _dtbs_/usb_a9g20.dtb _dtbs_/usb_a9g20_lpw.dtb _dtbs_/versatile-ab-ib2.dtb _dtbs_/versatile-ab.dtb _dtbs_/versatile-pb.dtb /tmp/kci/artifacts/dtbs\n+ cd ..\n+ echo job:package_dtbs=done\n++ date +%s\n+ echo jobets:package_dtbs=1727050369\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:13:50 AM UTC [INFO] Received an event for node: 66f0b102bca8c035cac2c1a5 09/23/2024 12:13:51 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b102bca8c035cac2c1a5', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:26.261000+00:00', 'architecture': 'i386', 'compiler': 'gcc-12', 'config_name': 'i386_defconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b102bca8c035cac2c1a5-kbuild-gcc-12-i386-zdkts7r0', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'bzimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/bzImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-i386-66f0b102bca8c035cac2c1a5/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ests/sync/sync_test /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_benchmark /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nrsync -a --copy-unsafe-links sysctl.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\n/bin/sh: 1: llc: not found\nclang -I. -I/include/uapi -Wno-compare-distinct-pointer-types \\\n\t -O2 -target bpf -emit-llvm -c action.c -o - | \\\nllc -march=bpf -mcpu=probe -filetype=obj -o /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o\n/bin/sh: 1: clang: not found\n/bin/sh: 3: llc: not found\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nmake[2]: *** [Makefile:26: /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o] Error 127\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/timens /tmp/kci/linux/tools/testing/selftests/timens/timerfd /tmp/kci/linux/tools/testing/selftests/timens/timer /tmp/kci/linux/tools/testing/selftests/timens/clock_nanosleep /tmp/kci/linux/tools/testing/selftests/timens/procfs /tmp/kci/linux/tools/testing/selftests/timens/exec /tmp/kci/linux/tools/testing/selftests/timens/futex /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timens/gettime_perf /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/timens/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/posix_timers /tmp/kci/linux/tools/testing/selftests/timers/nanosleep /tmp/kci/linux/tools/testing/selftests/timers/nsleep-lat /tmp/kci/linux/tools/testing/selftests/timers/set-timer-lat /tmp/kci/linux/tools/testing/selftests/timers/mqueue-lat /tmp/kci/linux/tools/testing/selftests/timers/inconsistency-check /tmp/kci/linux/tools/testing/selftests/timers/raw_skew /tmp/kci/linux/tools/testing/selftests/timers/threadtest /tmp/kci/linux/tools/testing/selftests/timers/rtcpie /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/alarmtimer-suspend /tmp/kci/linux/tools/testing/selftests/timers/valid-adjtimex /tmp/kci/linux/tools/testing/selftests/timers/adjtick /tmp/kci/linux/tools/testing/selftests/timers/change_skew /tmp/kci/linux/tools/testing/selftests/timers/skew_consistency /tmp/kci/linux/tools/testing/selftests/timers/clocksource-switch /tmp/kci/linux/tools/testing/selftests/timers/freq-step /tmp/kci/linux/tools/testing/selftests/timers/leap-a-day /tmp/kci/linux/tools/testing/selftests/timers/leapcrash /tmp/kci/linux/tools/testing/selftests/timers/set-tai /tmp/kci/linux/tools/testing/selftests/timers/set-2038 /tmp/kci/linux/tools/testing/selftests/timers/set-tz /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile /tmp/kci/linux/tools/testing/selftests/kselftest_install/tmpfs/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nrsync -a --copy-unsafe-links test_smoke.sh test_space.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links tpm2.py tpm2_tests.py /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nrsync -a --copy-unsafe-links test_user_copy.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_getcpu /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_abi /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_clock_getres /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_correctness /tmp/kci/linux/tools/testing/selftests/kselftest_install/vDSO/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\ngcc -Wall -I /tmp/kci/linux/tools/testing/selftests/../../.. -isystem /tmp/kci/linux/usr/include -no-pie map_hugetlb.c -lrt -lpthread -o /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb\nmap_hugetlb.c: In function ‘main’:\nmap_hugetlb.c:79:25: warning: implicit declaration of function ‘default_huge_page_size’ [-Wimplicit-function-declaration]\n 79 | hugepage_size = default_huge_page_size();\n | ^~~~~~~~~~~~~~~~~~~~~~\n/usr/bin/ld: /tmp/ccMFhQry.o: in function `main\':\nmap_hugetlb.c:(.text+0x104): undefined reference to `default_huge_page_size\'\ncollect2: error: ld returned 1 exit status\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_32 /tmp/kci/linux/tools/testing/selftests/x86/sysret_ss_attrs_32 /tmp/kci/linux/tools/testing/selftests/x86/syscall_nt_32 /tmp/kci/linux/tools/testing/selftests/x86/test_mremap_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/check_initial_reg_state_32 /tmp/kci/linux/tools/testing/selftests/x86/sigreturn_32 /tmp/kci/linux/tools/testing/selftests/x86/iopl_32 /tmp/kci/linux/tools/testing/selftests/x86/ioperm_32 /tmp/kci/linux/tools/testing/selftests/x86/test_vsyscall_32 /tmp/kci/linux/tools/testing/selftests/x86/mov_ss_trap_32 /tmp/kci/linux/tools/testing/selftests/x86/syscall_arg_fault_32 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_restore_32 /tmp/kci/linux/tools/testing/selftests/x86/sigaltstack_32 /tmp/kci/linux/tools/testing/selftests/x86/entry_from_vm86_32 /tmp/kci/linux/tools/testing/selftests/x86/test_syscall_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/unwind_vdso_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FCMOV_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FCOMI_32 /tmp/kci/linux/tools/testing/selftests/x86/test_FISTTP_32 /tmp/kci/linux/tools/testing/selftests/x86/vdso_restorer_32 /tmp/kci/linux/tools/testing/selftests/x86/ldt_gdt_32 /tmp/kci/linux/tools/testing/selftests/x86/ptrace_syscall_32 /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_64 /tmp/kci/linux/tools/testing/selftests/x86/sysret_ss_attrs_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_nt_64 /tmp/kci/linux/tools/testing/selftests/x86/test_mremap_vdso_64 /tmp/kci/linux/tools/testing/selftests/x86/check_initial_reg_state_64 /tmp/kci/linux/tools/testing/selftests/x86/sigreturn_64 /tmp/kci/linux/tools/testing/selftests/x86/iopl_64 /tmp/kci/linux/tools/testing/selftests/x86/ioperm_64 /tmp/kci/linux/tools/testing/selftests/x86/test_vsyscall_64 /tmp/kci/linux/tools/testing/selftests/x86/mov_ss_trap_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_arg_fault_64 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_restore_64 /tmp/kci/linux/tools/testing/selftests/x86/sigaltstack_64 /tmp/kci/linux/tools/testing/selftests/x86/fsgsbase_64 /tmp/kci/linux/tools/testing/selftests/x86/sysret_rip_64 /tmp/kci/linux/tools/testing/selftests/x86/syscall_numbering_64 /tmp/kci/linux/tools/testing/selftests/x86/corrupt_xstate_header_64 /tmp/kci/linux/tools/testing/selftests/x86/amx_64 /tmp/kci/linux/tools/testing/selftests/x86/ldt_gdt_64 /tmp/kci/linux/tools/testing/selftests/x86/ptrace_syscall_64 /tmp/kci/linux/tools/testing/selftests/kselftest_install/x86/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nrsync -a --copy-unsafe-links zram.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links zram01.sh zram02.sh zram_lib.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nfor TARGET in alsa amd-pstate arm64 breakpoints capabilities cgroup clone3 core cpufreq cpu-hotplug damon drivers/dma-buf drivers/s390x/uvdevice drivers/net/bonding drivers/net/team efivarfs exec filesystems filesystems/binderfs filesystems/epoll filesystems/fat firmware fpu ftrace futex gpio intel_pstate ipc ir kcmp kexec kvm landlock lib livepatch lkdtm membarrier memfd memory-hotplug mincore mount mount_setattr move_mount_set_group mqueue nci net net/af_unix net/forwarding net/mptcp net/openvswitch netfilter nsfs pidfd pid_namespace powerpc proc pstore ptrace openat2 resctrl rlimits rseq rtc seccomp sgx sigaltstack size sparc64 splice static_keys sync syscall_user_dispatch sysctl tc-testing timens timers tmpfs tpm2 user vDSO vm x86 zram; do \\\n\tBUILD_TARGET=$BUILD/$TARGET;\t\\\n\t[ ! -d /tmp/kci/linux/tools/testing/selftests/kselftest_install/$TARGET ] && printf "Skipping non-existent dir: $TARGET\\n" && continue; \\\n\tprintf "Emit Tests for $TARGET\\n"; \\\n\tmake -s --no-print-directory OUTPUT=$BUILD_TARGET COLLECTION=$TARGET \\\n\t\t-C $TARGET emit_tests >> /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-list.txt; \\\ndone;\nEmit Tests for alsa\nEmit Tests for amd-pstate\nSkipping non-existent dir: arm64\nEmit Tests for breakpoints\nEmit Tests for capabilities\nEmit Tests for cgroup\nEmit Tests for clone3\nEmit Tests for core\nEmit Tests for cpufreq\nEmit Tests for cpu-hotplug\nEmit Tests for damon\nEmit Tests for drivers/dma-buf\nSkipping non-existent dir: drivers/s390x/uvdevice\nEmit Tests for drivers/net/bonding\nEmit Tests for drivers/net/team\nEmit Tests for efivarfs\nEmit Tests for exec\nEmit Tests for filesystems\nEmit Tests for filesystems/binderfs\nEmit Tests for filesystems/epoll\nEmit Tests for filesystems/fat\nEmit Tests for firmware\nEmit Tests for fpu\nEmit Tests for ftrace\nEmit Tests for futex\nEmit Tests for gpio\nEmit Tests for intel_pstate\nEmit Tests for ipc\nEmit Tests for ir\nEmit Tests for kcmp\nEmit Tests for kexec\nSkipping non-existent dir: kvm\nEmit Tests for landlock\nEmit Tests for lib\nEmit Tests for livepatch\nEmit Tests for lkdtm\nEmit Tests for membarrier\nEmit Tests for memfd\nEmit Tests for memory-hotplug\nEmit Tests for mincore\nEmit Tests for mount\nEmit Tests for mount_setattr\nEmit Tests for move_mount_set_group\nEmit Tests for mqueue\nEmit Tests for nci\nEmit Tests for net\nEmit Tests for net/af_unix\nEmit Tests for net/forwarding\nEmit Tests for net/mptcp\nEmit Tests for net/openvswitch\nEmit Tests for netfilter\nEmit Tests for nsfs\nEmit Tests for pidfd\nEmit Tests for pid_namespace\nSkipping non-existent dir: powerpc\nEmit Tests for proc\nEmit Tests for pstore\nEmit Tests for ptrace\nEmit Tests for openat2\nEmit Tests for resctrl\nEmit Tests for rlimits\nEmit Tests for rseq\nEmit Tests for rtc\nEmit Tests for seccomp\nEmit Tests for sgx\nEmit Tests for sigaltstack\nEmit Tests for size\nSkipping non-existent dir: sparc64\nEmit Tests for splice\nEmit Tests for static_keys\nEmit Tests for sync\nEmit Tests for syscall_user_dispatch\nEmit Tests for sysctl\nSkipping non-existent dir: tc-testing\nEmit Tests for timens\nEmit Tests for timers\nEmit Tests for tmpfs\nEmit Tests for tpm2\nEmit Tests for user\nEmit Tests for vDSO\nSkipping non-existent dir: vm\nEmit Tests for x86\nEmit Tests for zram\nCreated /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz\n+ echo job:build_kselftest=done\n++ date +%s\n+ echo jobets:build_kselftest=1727050425\n+ echo -----log:package_kimage-----\n-----log:package_kimage-----\n+ echo job:package_kimage=running\n++ date +%s\n+ echo jobsts:package_kimage=1727050425\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/i386/boot/bzImage ../artifacts\n+ cd ..\n+ echo job:package_kimage=done\n++ date +%s\n+ echo jobets:package_kimage=1727050425\n+ echo -----log:package_modules-----\n-----log:package_modules-----\n+ echo job:package_modules=running\n++ date +%s\n+ echo jobsts:package_modules=1727050425\n+ cd /tmp/kci/linux\n+ set +e\n+ grep CONFIG_MODULES=y .config\nCONFIG_MODULES=y\n+ \'[\' 0 -eq 0 \']\'\n+ set -e\n+ make modules_install\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/thermal/intel/x86_pkg_temp_thermal.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/thermal/intel/x86_pkg_temp_thermal.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/fs/efivarfs/efivarfs.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/fs/efivarfs/efivarfs.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/iptable_nat.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/iptable_nat.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_log_syslog.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_log_syslog.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_LOG.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_LOG.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_MASQUERADE.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_MASQUERADE.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_addrtype.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_addrtype.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_mark.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_mark.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_nat.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_nat.ko\n DEPMOD _modules_/lib/modules/6.1.111\n+ tar -C _modules_ -cJf /tmp/kci/artifacts/modules.tar.xz .\n+ cd ..\n+ rm -rf _modules_\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050425\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050425\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050425\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:16:19 AM UTC [INFO] Received an event for node: 66f0b105bca8c035cac2c1a9 09/23/2024 12:16:20 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b105bca8c035cac2c1a9', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:29.178000+00:00', 'architecture': 'riscv', 'compiler': 'gcc-12', 'config_name': 'defconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b105bca8c035cac2c1a9-kbuild-gcc-12-riscv-w3xi0qu5', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'image'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/build_dtbs.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/build_dtbs_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/Image?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-riscv-66f0b105bca8c035cac2c1a9/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '111/kernel/crypto/seqiv.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/crypto/sha256_generic.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/crypto/sha256_generic.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/crypto/sha512_generic.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/crypto/sha512_generic.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/crypto/xor.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/crypto/xor.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/crypto/xxhash_generic.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/crypto/xxhash_generic.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/clk/starfive/clk-starfive-jh7100-audio.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/clk/starfive/clk-starfive-jh7100-audio.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/display/drm_display_helper.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/display/drm_display_helper.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/drm.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/drm.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/drm_kms_helper.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/drm_kms_helper.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/drm_panel_orientation_quirks.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/drm_panel_orientation_quirks.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/drm_shmem_helper.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/drm_shmem_helper.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/drm_ttm_helper.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/drm_ttm_helper.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/i2c/ch7006.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/i2c/ch7006.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/i2c/sil164.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/i2c/sil164.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/nouveau/nouveau.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/nouveau/nouveau.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/radeon/radeon.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/radeon/radeon.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/ttm/ttm.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/ttm/ttm.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/virtio/virtio-gpu.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/gpu/drm/virtio/virtio-gpu.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/i2c/algos/i2c-algo-bit.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/i2c/algos/i2c-algo-bit.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/i2c/i2c-core.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/i2c/i2c-core.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/md/dm-bio-prison.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/md/dm-bio-prison.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/md/dm-bufio.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/md/dm-bufio.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/md/dm-mod.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/md/dm-mod.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/md/dm-thin-pool.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/md/dm-thin-pool.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/md/persistent-data/dm-persistent-data.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/md/persistent-data/dm-persistent-data.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/net/dummy.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/net/dummy.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/net/ipvlan/ipvlan.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/net/ipvlan/ipvlan.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/net/macvlan.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/net/macvlan.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/net/veth.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/net/veth.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/net/vxlan/vxlan.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/net/vxlan/vxlan.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/nvme/host/nvme-core.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/nvme/host/nvme-core.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/nvme/host/nvme.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/nvme/host/nvme.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/video/backlight/backlight.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/video/backlight/backlight.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/cfbcopyarea.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/cfbcopyarea.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/cfbfillrect.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/cfbfillrect.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/cfbimgblt.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/cfbimgblt.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/fb_sys_fops.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/fb_sys_fops.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/syscopyarea.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/syscopyarea.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/sysfillrect.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/sysfillrect.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/sysimgblt.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/video/fbdev/core/sysimgblt.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/virtio/virtio_dma_buf.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/virtio/virtio_dma_buf.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/fs/btrfs/btrfs.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/fs/btrfs/btrfs.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/fs/efivarfs/efivarfs.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/fs/efivarfs/efivarfs.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/fs/nfs/blocklayout/blocklayoutdriver.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/fs/nfs/blocklayout/blocklayoutdriver.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/fs/nls/nls_iso8859-1.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/fs/nls/nls_iso8859-1.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/fs/overlayfs/overlay.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/fs/overlayfs/overlay.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/lib/crypto/libaes.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/lib/crypto/libaes.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/lib/crypto/libsha256.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/lib/crypto/libsha256.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/lib/libcrc32c.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/lib/libcrc32c.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/lib/lzo/lzo_compress.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/lib/lzo/lzo_compress.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/lib/raid6/raid6_pq.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/lib/raid6/raid6_pq.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/lib/zstd/zstd_compress.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/lib/zstd/zstd_compress.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/802/p8022.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/802/p8022.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/802/psnap.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/802/psnap.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/802/stp.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/802/stp.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/8021q/8021q.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/8021q/8021q.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/bridge/br_netfilter.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/bridge/br_netfilter.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/bridge/bridge.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/bridge/bridge.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/esp4.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/esp4.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/ip_tables.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/ip_tables.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/ipt_REJECT.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/ipt_REJECT.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/iptable_filter.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/iptable_filter.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/iptable_mangle.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/iptable_mangle.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/iptable_nat.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/iptable_nat.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/nf_defrag_ipv4.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/nf_defrag_ipv4.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/nf_reject_ipv4.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/nf_reject_ipv4.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/udp_tunnel.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/udp_tunnel.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv6/ip6_udp_tunnel.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv6/ip6_udp_tunnel.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/ip6_tables.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/ip6_tables.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/ip6t_REJECT.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/ip6t_REJECT.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/ip6t_ipv6header.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/ip6t_ipv6header.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/ip6table_filter.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/ip6table_filter.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/ip6table_mangle.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/ip6table_mangle.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/nf_defrag_ipv6.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/nf_defrag_ipv6.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/nf_reject_ipv6.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv6/netfilter/nf_reject_ipv6.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/llc/llc.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/llc/llc.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/ipvs/ip_vs.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/ipvs/ip_vs.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/ipvs/ip_vs_rr.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/ipvs/ip_vs_rr.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_conntrack.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_conntrack.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_conntrack_ftp.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_conntrack_ftp.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_conntrack_tftp.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_conntrack_tftp.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_log_syslog.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_log_syslog.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_nat.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_nat.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_nat_ftp.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_nat_ftp.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_nat_tftp.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_nat_tftp.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/x_tables.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/x_tables.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_MASQUERADE.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_MASQUERADE.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_REDIRECT.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_REDIRECT.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_addrtype.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_addrtype.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_conntrack.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_conntrack.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_ipvs.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_ipvs.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_mark.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_mark.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_nat.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_nat.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_tcpudp.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_tcpudp.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/sched/cls_cgroup.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/sched/cls_cgroup.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/xfrm/xfrm_algo.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/xfrm/xfrm_algo.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/xfrm/xfrm_user.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/xfrm/xfrm_user.ko\n DEPMOD _modules_/lib/modules/6.1.111\n+ tar -C _modules_ -cJf /tmp/kci/artifacts/modules.tar.xz .\n+ cd ..\n+ rm -rf _modules_\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050571\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050571\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050571\n+ echo -----log:package_dtbs-----\n-----log:package_dtbs-----\n+ echo job:package_dtbs=running\n++ date +%s\n+ echo jobsts:package_dtbs=1727050571\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ make dtbs_install\n INSTALL _dtbs_/sifive/hifive-unleashed-a00.dtb\n INSTALL _dtbs_/sifive/hifive-unmatched-a00.dtb\n INSTALL _dtbs_/starfive/jh7100-beaglev-starlight.dtb\n INSTALL _dtbs_/microchip/mpfs-icicle-kit.dtb\n INSTALL _dtbs_/microchip/mpfs-m100pfsevp.dtb\n INSTALL _dtbs_/microchip/mpfs-polarberry.dtb\n INSTALL _dtbs_/microchip/mpfs-sev-kit.dtb\n+ mkdir -p /tmp/kci/artifacts/dtbs\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp -r _dtbs_/microchip _dtbs_/sifive _dtbs_/starfive /tmp/kci/artifacts/dtbs\n+ cd ..\n+ echo job:package_dtbs=done\n++ date +%s\n+ echo jobets:package_dtbs=1727050571\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:16:52 AM UTC [INFO] Received an event for node: 66f0b0fabca8c035cac2c19b 09/23/2024 12:16:52 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b0fabca8c035cac2c19b', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:18.406000+00:00', 'architecture': 'x86_64', 'compiler': 'clang-17', 'config_name': 'x86_64_defconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b0fabca8c035cac2c19b-kbuild-clang-17-x86-a7ykr9lu', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'bzimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/bzImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-clang-17-x86-66f0b0fabca8c035cac2c19b/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'ing/selftests/kselftest_install/sigaltstack/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sigaltstack\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/size/get_size /tmp/kci/linux/tools/testing/selftests/kselftest_install/size/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/size\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sparc64\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nrsync -a --copy-unsafe-links default_file_splice_read.sh short_splice_read.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/splice/default_file_splice_read /tmp/kci/linux/tools/testing/selftests/splice/splice_read /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nrsync -a --copy-unsafe-links config settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/splice/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/splice\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nrsync -a --copy-unsafe-links test_static_keys.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/static_keys/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/static_keys\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/sync/sync_test /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sync/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sync\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_test /tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch/sud_benchmark /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/syscall_user_dispatch/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/syscall_user_dispatch\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nrsync -a --copy-unsafe-links sysctl.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/sysctl/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/sysctl\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nrsync -a --copy-unsafe-links ./tdc.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tc-testing/\nrsync -a --copy-unsafe-links tdc*.py Tdc*.py plugins plugin-lib tc-tests /tmp/kci/linux/tools/testing/selftests/kselftest_install/tc-testing/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/tc-testing/action.o /tmp/kci/linux/tools/testing/selftests/kselftest_install/tc-testing/\nrsync -a --copy-unsafe-links config settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tc-testing/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tc-testing\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nclang --target=x86_64-linux-gnu -fintegrated-as -Werror=unknown-warning-option -Werror=ignored-optimization-argument --target=x86_64-linux-gnu -fintegrated-as -Wall -Werror -pthread timerfd.c -lrt -ldl -o /tmp/kci/linux/tools/testing/selftests/timens/timerfd\ntimerfd.c:64:7: error: absolute value function \'abs\' given an argument of type \'long long\' but has parameter of type \'int\' which may cause truncation of value [-Werror,-Wabsolute-value]\n 64 | if (abs(elapsed - 3600) > 60) {\n | ^\ntimerfd.c:64:7: note: use function \'llabs\' instead\n 64 | if (abs(elapsed - 3600) > 60) {\n | ^~~\n | llabs\n1 error generated.\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/timens/timerfd] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timens\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/posix_timers /tmp/kci/linux/tools/testing/selftests/timers/nanosleep /tmp/kci/linux/tools/testing/selftests/timers/nsleep-lat /tmp/kci/linux/tools/testing/selftests/timers/set-timer-lat /tmp/kci/linux/tools/testing/selftests/timers/mqueue-lat /tmp/kci/linux/tools/testing/selftests/timers/inconsistency-check /tmp/kci/linux/tools/testing/selftests/timers/raw_skew /tmp/kci/linux/tools/testing/selftests/timers/threadtest /tmp/kci/linux/tools/testing/selftests/timers/rtcpie /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/timers/alarmtimer-suspend /tmp/kci/linux/tools/testing/selftests/timers/valid-adjtimex /tmp/kci/linux/tools/testing/selftests/timers/adjtick /tmp/kci/linux/tools/testing/selftests/timers/change_skew /tmp/kci/linux/tools/testing/selftests/timers/skew_consistency /tmp/kci/linux/tools/testing/selftests/timers/clocksource-switch /tmp/kci/linux/tools/testing/selftests/timers/freq-step /tmp/kci/linux/tools/testing/selftests/timers/leap-a-day /tmp/kci/linux/tools/testing/selftests/timers/leapcrash /tmp/kci/linux/tools/testing/selftests/timers/set-tai /tmp/kci/linux/tools/testing/selftests/timers/set-2038 /tmp/kci/linux/tools/testing/selftests/timers/set-tz /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/timers/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/timers\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/tmpfs/bug-link-o-tmpfile /tmp/kci/linux/tools/testing/selftests/kselftest_install/tmpfs/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tmpfs\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nrsync -a --copy-unsafe-links test_smoke.sh test_space.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links tpm2.py tpm2_tests.py /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nrsync -a --copy-unsafe-links settings /tmp/kci/linux/tools/testing/selftests/kselftest_install/tpm2/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/tpm2\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nrsync -a --copy-unsafe-links test_user_copy.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/user/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/user\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nrsync -a --copy-unsafe-links /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_gettimeofday /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_getcpu /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_abi /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_clock_getres /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_standalone_test_x86 /tmp/kci/linux/tools/testing/selftests/vDSO/vdso_test_correctness /tmp/kci/linux/tools/testing/selftests/kselftest_install/vDSO/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vDSO\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nclang --target=x86_64-linux-gnu -fintegrated-as -Werror=unknown-warning-option -Werror=ignored-optimization-argument --target=x86_64-linux-gnu -fintegrated-as -Wall -I /tmp/kci/linux/tools/testing/selftests/../../.. -isystem /tmp/kci/linux/usr/include -no-pie map_hugetlb.c -lrt -lpthread -o /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb\nmap_hugetlb.c:79:18: error: call to undeclared function \'default_huge_page_size\'; ISO C99 and later do not support implicit function declarations [-Wimplicit-function-declaration]\n 79 | hugepage_size = default_huge_page_size();\n | ^\n1 error generated.\nmake[2]: *** [../lib.mk:155: /tmp/kci/linux/tools/testing/selftests/vm/map_hugetlb] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/vm\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nclang --target=x86_64-linux-gnu -fintegrated-as -Werror=unknown-warning-option -Werror=ignored-optimization-argument --target=x86_64-linux-gnu -fintegrated-as -m64 -o /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_64 -O2 -g -std=gnu99 -pthread -Wall -isystem /tmp/kci/linux/usr/include -no-pie -DCAN_BUILD_64 single_step_syscall.c helpers.h -lrt -ldl\nclang: error: cannot specify -o when generating multiple output files\nmake[2]: *** [Makefile:78: /tmp/kci/linux/tools/testing/selftests/x86/single_step_syscall_64] Error 1\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/x86\'\nmake[2]: Entering directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nrsync -a --copy-unsafe-links zram.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links zram01.sh zram02.sh zram_lib.sh /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nrsync -a --copy-unsafe-links config /tmp/kci/linux/tools/testing/selftests/kselftest_install/zram/\nmake[2]: Leaving directory \'/tmp/kci/linux/tools/testing/selftests/zram\'\nfor TARGET in alsa amd-pstate arm64 breakpoints capabilities cgroup clone3 core cpufreq cpu-hotplug damon drivers/dma-buf drivers/s390x/uvdevice drivers/net/bonding drivers/net/team efivarfs exec filesystems filesystems/binderfs filesystems/epoll filesystems/fat firmware fpu ftrace futex gpio intel_pstate ipc ir kcmp kexec kvm landlock lib livepatch lkdtm membarrier memfd memory-hotplug mincore mount mount_setattr move_mount_set_group mqueue nci net net/af_unix net/forwarding net/mptcp net/openvswitch netfilter nsfs pidfd pid_namespace powerpc proc pstore ptrace openat2 resctrl rlimits rseq rtc seccomp sgx sigaltstack size sparc64 splice static_keys sync syscall_user_dispatch sysctl tc-testing timens timers tmpfs tpm2 user vDSO vm x86 zram; do \\\n\tBUILD_TARGET=$BUILD/$TARGET;\t\\\n\t[ ! -d /tmp/kci/linux/tools/testing/selftests/kselftest_install/$TARGET ] && printf "Skipping non-existent dir: $TARGET\\n" && continue; \\\n\tprintf "Emit Tests for $TARGET\\n"; \\\n\tmake -s --no-print-directory OUTPUT=$BUILD_TARGET COLLECTION=$TARGET \\\n\t\t-C $TARGET emit_tests >> /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-list.txt; \\\ndone;\nEmit Tests for alsa\nEmit Tests for amd-pstate\nSkipping non-existent dir: arm64\nEmit Tests for breakpoints\nEmit Tests for capabilities\nEmit Tests for cgroup\nEmit Tests for clone3\nEmit Tests for core\nEmit Tests for cpufreq\nEmit Tests for cpu-hotplug\nEmit Tests for damon\nEmit Tests for drivers/dma-buf\nSkipping non-existent dir: drivers/s390x/uvdevice\nEmit Tests for drivers/net/bonding\nEmit Tests for drivers/net/team\nEmit Tests for efivarfs\nEmit Tests for exec\nEmit Tests for filesystems\nEmit Tests for filesystems/binderfs\nEmit Tests for filesystems/epoll\nEmit Tests for filesystems/fat\nEmit Tests for firmware\nEmit Tests for fpu\nEmit Tests for ftrace\nEmit Tests for futex\nEmit Tests for gpio\nEmit Tests for intel_pstate\nEmit Tests for ipc\nEmit Tests for ir\nEmit Tests for kcmp\nEmit Tests for kexec\nSkipping non-existent dir: kvm\nEmit Tests for landlock\nEmit Tests for lib\nEmit Tests for livepatch\nEmit Tests for lkdtm\nEmit Tests for membarrier\nEmit Tests for memfd\nEmit Tests for memory-hotplug\nEmit Tests for mincore\nEmit Tests for mount\nEmit Tests for mount_setattr\nEmit Tests for move_mount_set_group\nEmit Tests for mqueue\nEmit Tests for nci\nEmit Tests for net\nEmit Tests for net/af_unix\nEmit Tests for net/forwarding\nEmit Tests for net/mptcp\nEmit Tests for net/openvswitch\nEmit Tests for netfilter\nEmit Tests for nsfs\nEmit Tests for pidfd\nEmit Tests for pid_namespace\nSkipping non-existent dir: powerpc\nEmit Tests for proc\nEmit Tests for pstore\nEmit Tests for ptrace\nSkipping non-existent dir: openat2\nEmit Tests for resctrl\nEmit Tests for rlimits\nSkipping non-existent dir: rseq\nEmit Tests for rtc\nEmit Tests for seccomp\nSkipping non-existent dir: sgx\nEmit Tests for sigaltstack\nEmit Tests for size\nSkipping non-existent dir: sparc64\nEmit Tests for splice\nEmit Tests for static_keys\nEmit Tests for sync\nEmit Tests for syscall_user_dispatch\nEmit Tests for sysctl\nEmit Tests for tc-testing\nSkipping non-existent dir: timens\nEmit Tests for timers\nEmit Tests for tmpfs\nEmit Tests for tpm2\nEmit Tests for user\nEmit Tests for vDSO\nSkipping non-existent dir: vm\nSkipping non-existent dir: x86\nEmit Tests for zram\nCreated /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz\n+ echo job:build_kselftest=done\n++ date +%s\n+ echo jobets:build_kselftest=1727050606\n+ echo -----log:package_kimage-----\n-----log:package_kimage-----\n+ echo job:package_kimage=running\n++ date +%s\n+ echo jobsts:package_kimage=1727050606\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp arch/x86_64/boot/bzImage ../artifacts\n+ cd ..\n+ echo job:package_kimage=done\n++ date +%s\n+ echo jobets:package_kimage=1727050606\n+ echo -----log:package_modules-----\n-----log:package_modules-----\n+ echo job:package_modules=running\n++ date +%s\n+ echo jobsts:package_modules=1727050606\n+ cd /tmp/kci/linux\n+ set +e\n+ grep CONFIG_MODULES=y .config\nCONFIG_MODULES=y\n+ \'[\' 0 -eq 0 \']\'\n+ set -e\n+ make modules_install\n INSTALL _modules_/lib/modules/6.1.111/kernel/drivers/thermal/intel/x86_pkg_temp_thermal.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/drivers/thermal/intel/x86_pkg_temp_thermal.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/fs/efivarfs/efivarfs.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/fs/efivarfs/efivarfs.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/iptable_nat.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/ipv4/netfilter/iptable_nat.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_log_syslog.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/nf_log_syslog.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_LOG.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_LOG.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_MASQUERADE.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_MASQUERADE.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_addrtype.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_addrtype.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_mark.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_mark.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_nat.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/net/netfilter/xt_nat.ko\n DEPMOD _modules_/lib/modules/6.1.111\n+ tar -C _modules_ -cJf /tmp/kci/artifacts/modules.tar.xz .\n+ cd ..\n+ rm -rf _modules_\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050606\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050606\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050606\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:16:55 AM UTC [INFO] Received an event for node: 66f0b0fdbca8c035cac2c19e 09/23/2024 12:16:56 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b0fdbca8c035cac2c19e', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:21.056000+00:00', 'architecture': 'arm', 'compiler': 'gcc-12', 'config_name': 'imx_v6_v7_defconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b0fdbca8c035cac2c19e-kbuild-gcc-12-arm-imx-v6-g5h9zo7d', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'zimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/build_dtbs.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/build_dtbs_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/zImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-imx_v6_v7_defconfig-66f0b0fdbca8c035cac2c19e/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': '\n INSTALL _dtbs_/imx6q-udoo.dtb\n INSTALL _dtbs_/imx6q-utilite-pro.dtb\n INSTALL _dtbs_/imx6q-var-dt6customboard.dtb\n INSTALL _dtbs_/imx6q-vicut1.dtb\n INSTALL _dtbs_/imx6q-wandboard.dtb\n INSTALL _dtbs_/imx6q-wandboard-revb1.dtb\n INSTALL _dtbs_/imx6q-wandboard-revd1.dtb\n INSTALL _dtbs_/imx6q-yapp4-crux.dtb\n INSTALL _dtbs_/imx6q-zii-rdu2.dtb\n INSTALL _dtbs_/imx6qp-mba6b.dtb\n INSTALL _dtbs_/imx6qp-nitrogen6_max.dtb\n INSTALL _dtbs_/imx6qp-nitrogen6_som2.dtb\n INSTALL _dtbs_/imx6qp-phytec-mira-rdk-nand.dtb\n INSTALL _dtbs_/imx6qp-prtwd3.dtb\n INSTALL _dtbs_/imx6qp-sabreauto.dtb\n INSTALL _dtbs_/imx6qp-sabresd.dtb\n INSTALL _dtbs_/imx6qp-tx6qp-8037.dtb\n INSTALL _dtbs_/imx6qp-tx6qp-8037-mb7.dtb\n INSTALL _dtbs_/imx6qp-tx6qp-8137.dtb\n INSTALL _dtbs_/imx6qp-tx6qp-8137-mb7.dtb\n INSTALL _dtbs_/imx6qp-vicutp.dtb\n INSTALL _dtbs_/imx6qp-wandboard-revd1.dtb\n INSTALL _dtbs_/imx6qp-yapp4-crux-plus.dtb\n INSTALL _dtbs_/imx6qp-zii-rdu2.dtb\n INSTALL _dtbs_/imx6s-dhcom-drc02.dtb\n INSTALL _dtbs_/imx6sl-evk.dtb\n INSTALL _dtbs_/imx6sl-tolino-shine2hd.dtb\n INSTALL _dtbs_/imx6sl-tolino-shine3.dtb\n INSTALL _dtbs_/imx6sl-tolino-vision5.dtb\n INSTALL _dtbs_/imx6sl-warp.dtb\n INSTALL _dtbs_/imx6sll-evk.dtb\n INSTALL _dtbs_/imx6sll-kobo-clarahd.dtb\n INSTALL _dtbs_/imx6sll-kobo-librah2o.dtb\n INSTALL _dtbs_/imx6sx-nitrogen6sx.dtb\n INSTALL _dtbs_/imx6sx-sabreauto.dtb\n INSTALL _dtbs_/imx6sx-sdb-reva.dtb\n INSTALL _dtbs_/imx6sx-sdb-sai.dtb\n INSTALL _dtbs_/imx6sx-sdb.dtb\n INSTALL _dtbs_/imx6sx-sdb-mqs.dtb\n INSTALL _dtbs_/imx6sx-softing-vining-2000.dtb\n INSTALL _dtbs_/imx6sx-udoo-neo-basic.dtb\n INSTALL _dtbs_/imx6sx-udoo-neo-extended.dtb\n INSTALL _dtbs_/imx6sx-udoo-neo-full.dtb\n INSTALL _dtbs_/imx6ul-14x14-evk.dtb\n INSTALL _dtbs_/imx6ul-ccimx6ulsbcexpress.dtb\n INSTALL _dtbs_/imx6ul-ccimx6ulsbcpro.dtb\n INSTALL _dtbs_/imx6ul-geam.dtb\n INSTALL _dtbs_/imx6ul-isiot-emmc.dtb\n INSTALL _dtbs_/imx6ul-isiot-nand.dtb\n INSTALL _dtbs_/imx6ul-kontron-bl.dtb\n INSTALL _dtbs_/imx6ul-kontron-bl-43.dtb\n INSTALL _dtbs_/imx6ul-liteboard.dtb\n INSTALL _dtbs_/imx6ul-tqma6ul1-mba6ulx.dtb\n INSTALL _dtbs_/imx6ul-tqma6ul2-mba6ulx.dtb\n INSTALL _dtbs_/imx6ul-tqma6ul2l-mba6ulx.dtb\n INSTALL _dtbs_/imx6ul-opos6uldev.dtb\n INSTALL _dtbs_/imx6ul-pico-dwarf.dtb\n INSTALL _dtbs_/imx6ul-pico-hobbit.dtb\n INSTALL _dtbs_/imx6ul-pico-pi.dtb\n INSTALL _dtbs_/imx6ul-phytec-segin-ff-rdk-emmc.dtb\n INSTALL _dtbs_/imx6ul-phytec-segin-ff-rdk-nand.dtb\n INSTALL _dtbs_/imx6ul-prti6g.dtb\n INSTALL _dtbs_/imx6ul-tx6ul-0010.dtb\n INSTALL _dtbs_/imx6ul-tx6ul-0011.dtb\n INSTALL _dtbs_/imx6ul-tx6ul-mainboard.dtb\n INSTALL _dtbs_/imx6ull-14x14-evk.dtb\n INSTALL _dtbs_/imx6ull-colibri-aster.dtb\n INSTALL _dtbs_/imx6ull-colibri-emmc-aster.dtb\n INSTALL _dtbs_/imx6ull-colibri-emmc-eval-v3.dtb\n INSTALL _dtbs_/imx6ull-colibri-emmc-iris.dtb\n INSTALL _dtbs_/imx6ull-colibri-emmc-iris-v2.dtb\n INSTALL _dtbs_/imx6ull-colibri-eval-v3.dtb\n INSTALL _dtbs_/imx6ull-colibri-iris.dtb\n INSTALL _dtbs_/imx6ull-colibri-iris-v2.dtb\n INSTALL _dtbs_/imx6ull-colibri-wifi-aster.dtb\n INSTALL _dtbs_/imx6ull-colibri-wifi-eval-v3.dtb\n INSTALL _dtbs_/imx6ull-colibri-wifi-iris.dtb\n INSTALL _dtbs_/imx6ull-colibri-wifi-iris-v2.dtb\n INSTALL _dtbs_/imx6ull-jozacp.dtb\n INSTALL _dtbs_/imx6ull-kontron-bl.dtb\n INSTALL _dtbs_/imx6ull-myir-mys-6ulx-eval.dtb\n INSTALL _dtbs_/imx6ull-opos6uldev.dtb\n INSTALL _dtbs_/imx6ull-phytec-segin-ff-rdk-nand.dtb\n INSTALL _dtbs_/imx6ull-phytec-segin-ff-rdk-emmc.dtb\n INSTALL _dtbs_/imx6ull-phytec-segin-lc-rdk-nand.dtb\n INSTALL _dtbs_/imx6ull-phytec-tauri-emmc.dtb\n INSTALL _dtbs_/imx6ull-phytec-tauri-nand.dtb\n INSTALL _dtbs_/imx6ull-tqma6ull2-mba6ulx.dtb\n INSTALL _dtbs_/imx6ull-tqma6ull2l-mba6ulx.dtb\n INSTALL _dtbs_/imx6ulz-14x14-evk.dtb\n INSTALL _dtbs_/imx6ulz-bsh-smm-m2.dtb\n INSTALL _dtbs_/imx7d-cl-som-imx7.dtb\n INSTALL _dtbs_/imx7d-colibri-aster.dtb\n INSTALL _dtbs_/imx7d-colibri-emmc-aster.dtb\n INSTALL _dtbs_/imx7d-colibri-emmc-iris.dtb\n INSTALL _dtbs_/imx7d-colibri-emmc-iris-v2.dtb\n INSTALL _dtbs_/imx7d-colibri-emmc-eval-v3.dtb\n INSTALL _dtbs_/imx7d-colibri-eval-v3.dtb\n INSTALL _dtbs_/imx7d-colibri-iris.dtb\n INSTALL _dtbs_/imx7d-colibri-iris-v2.dtb\n INSTALL _dtbs_/imx7d-flex-concentrator.dtb\n INSTALL _dtbs_/imx7d-flex-concentrator-mfg.dtb\n INSTALL _dtbs_/imx7d-mba7.dtb\n INSTALL _dtbs_/imx7d-meerkat96.dtb\n INSTALL _dtbs_/imx7d-nitrogen7.dtb\n INSTALL _dtbs_/imx7d-pico-dwarf.dtb\n INSTALL _dtbs_/imx7d-pico-hobbit.dtb\n INSTALL _dtbs_/imx7d-pico-nymph.dtb\n INSTALL _dtbs_/imx7d-pico-pi.dtb\n INSTALL _dtbs_/imx7d-remarkable2.dtb\n INSTALL _dtbs_/imx7d-sbc-imx7.dtb\n INSTALL _dtbs_/imx7d-sdb.dtb\n INSTALL _dtbs_/imx7d-sdb-reva.dtb\n INSTALL _dtbs_/imx7d-sdb-sht11.dtb\n INSTALL _dtbs_/imx7d-smegw01.dtb\n INSTALL _dtbs_/imx7d-zii-rmu2.dtb\n INSTALL _dtbs_/imx7d-zii-rpu2.dtb\n INSTALL _dtbs_/imx7s-colibri-aster.dtb\n INSTALL _dtbs_/imx7s-colibri-eval-v3.dtb\n INSTALL _dtbs_/imx7s-colibri-iris.dtb\n INSTALL _dtbs_/imx7s-colibri-iris-v2.dtb\n INSTALL _dtbs_/imx7s-mba7.dtb\n INSTALL _dtbs_/imx7s-warp.dtb\n INSTALL _dtbs_/imx7ulp-com.dtb\n INSTALL _dtbs_/imx7ulp-evk.dtb\n INSTALL _dtbs_/vf500-colibri-eval-v3.dtb\n INSTALL _dtbs_/vf610-bk4.dtb\n INSTALL _dtbs_/vf610-colibri-eval-v3.dtb\n INSTALL _dtbs_/vf610m4-colibri.dtb\n INSTALL _dtbs_/vf610-cosmic.dtb\n INSTALL _dtbs_/vf610m4-cosmic.dtb\n INSTALL _dtbs_/vf610-twr.dtb\n INSTALL _dtbs_/vf610-zii-cfu1.dtb\n INSTALL _dtbs_/vf610-zii-dev-rev-b.dtb\n INSTALL _dtbs_/vf610-zii-dev-rev-c.dtb\n INSTALL _dtbs_/vf610-zii-scu4-aib.dtb\n INSTALL _dtbs_/vf610-zii-spb4.dtb\n INSTALL _dtbs_/vf610-zii-ssmb-dtu.dtb\n INSTALL _dtbs_/vf610-zii-ssmb-spu3.dtb\n+ mkdir -p /tmp/kci/artifacts/dtbs\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp -r _dtbs_/imx31-bug.dtb _dtbs_/imx31-lite.dtb _dtbs_/imx35-eukrea-mbimxsd35-baseboard.dtb _dtbs_/imx35-pdk.dtb _dtbs_/imx50-evk.dtb _dtbs_/imx50-kobo-aura.dtb _dtbs_/imx51-apf51.dtb _dtbs_/imx51-apf51dev.dtb _dtbs_/imx51-babbage.dtb _dtbs_/imx51-digi-connectcore-jsk.dtb _dtbs_/imx51-eukrea-mbimxsd51-baseboard.dtb _dtbs_/imx51-ts4800.dtb _dtbs_/imx51-zii-rdu1.dtb _dtbs_/imx51-zii-scu2-mezz.dtb _dtbs_/imx51-zii-scu3-esb.dtb _dtbs_/imx53-ard.dtb _dtbs_/imx53-cx9020.dtb _dtbs_/imx53-kp-ddc.dtb _dtbs_/imx53-kp-hsc.dtb _dtbs_/imx53-m53evk.dtb _dtbs_/imx53-m53menlo.dtb _dtbs_/imx53-mba53.dtb _dtbs_/imx53-ppd.dtb _dtbs_/imx53-qsb.dtb _dtbs_/imx53-qsrb.dtb _dtbs_/imx53-smd.dtb _dtbs_/imx53-tx53-x03x.dtb _dtbs_/imx53-tx53-x13x.dtb _dtbs_/imx53-usbarmory.dtb _dtbs_/imx53-voipac-bsb.dtb _dtbs_/imx6dl-alti6p.dtb _dtbs_/imx6dl-apf6dev.dtb _dtbs_/imx6dl-aristainetos2_4.dtb _dtbs_/imx6dl-aristainetos2_7.dtb _dtbs_/imx6dl-aristainetos_4.dtb _dtbs_/imx6dl-aristainetos_7.dtb _dtbs_/imx6dl-b105pv2.dtb _dtbs_/imx6dl-b105v2.dtb _dtbs_/imx6dl-b125pv2.dtb _dtbs_/imx6dl-b125v2.dtb _dtbs_/imx6dl-b155v2.dtb _dtbs_/imx6dl-colibri-aster.dtb _dtbs_/imx6dl-colibri-eval-v3.dtb _dtbs_/imx6dl-colibri-iris-v2.dtb _dtbs_/imx6dl-colibri-iris.dtb _dtbs_/imx6dl-cubox-i-emmc-som-v15.dtb _dtbs_/imx6dl-cubox-i-som-v15.dtb _dtbs_/imx6dl-cubox-i.dtb _dtbs_/imx6dl-dfi-fs700-m60.dtb _dtbs_/imx6dl-dhcom-picoitx.dtb _dtbs_/imx6dl-eckelmann-ci4x10.dtb _dtbs_/imx6dl-emcon-avari.dtb _dtbs_/imx6dl-gw51xx.dtb _dtbs_/imx6dl-gw52xx.dtb _dtbs_/imx6dl-gw53xx.dtb _dtbs_/imx6dl-gw54xx.dtb _dtbs_/imx6dl-gw551x.dtb _dtbs_/imx6dl-gw552x.dtb _dtbs_/imx6dl-gw553x.dtb _dtbs_/imx6dl-gw560x.dtb _dtbs_/imx6dl-gw5903.dtb _dtbs_/imx6dl-gw5904.dtb _dtbs_/imx6dl-gw5907.dtb _dtbs_/imx6dl-gw5910.dtb _dtbs_/imx6dl-gw5912.dtb _dtbs_/imx6dl-gw5913.dtb _dtbs_/imx6dl-hummingboard-emmc-som-v15.dtb _dtbs_/imx6dl-hummingboard-som-v15.dtb _dtbs_/imx6dl-hummingboard.dtb _dtbs_/imx6dl-hummingboard2-emmc-som-v15.dtb _dtbs_/imx6dl-hummingboard2-som-v15.dtb _dtbs_/imx6dl-hummingboard2.dtb _dtbs_/imx6dl-icore-mipi.dtb _dtbs_/imx6dl-icore-rqs.dtb _dtbs_/imx6dl-icore.dtb _dtbs_/imx6dl-lanmcu.dtb _dtbs_/imx6dl-mamoj.dtb _dtbs_/imx6dl-mba6a.dtb _dtbs_/imx6dl-mba6b.dtb _dtbs_/imx6dl-nit6xlite.dtb _dtbs_/imx6dl-nitrogen6x.dtb _dtbs_/imx6dl-phytec-mira-rdk-nand.dtb _dtbs_/imx6dl-phytec-pbab01.dtb _dtbs_/imx6dl-pico-dwarf.dtb _dtbs_/imx6dl-pico-hobbit.dtb _dtbs_/imx6dl-pico-nymph.dtb _dtbs_/imx6dl-pico-pi.dtb _dtbs_/imx6dl-plybas.dtb _dtbs_/imx6dl-plym2m.dtb _dtbs_/imx6dl-prtmvt.dtb _dtbs_/imx6dl-prtrvt.dtb _dtbs_/imx6dl-prtvt7.dtb _dtbs_/imx6dl-rex-basic.dtb _dtbs_/imx6dl-riotboard.dtb _dtbs_/imx6dl-sabreauto.dtb _dtbs_/imx6dl-sabrelite.dtb _dtbs_/imx6dl-sabresd.dtb _dtbs_/imx6dl-savageboard.dtb _dtbs_/imx6dl-skov-revc-lt2.dtb _dtbs_/imx6dl-skov-revc-lt6.dtb _dtbs_/imx6dl-solidsense.dtb _dtbs_/imx6dl-ts4900.dtb _dtbs_/imx6dl-ts7970.dtb _dtbs_/imx6dl-tx6dl-comtft.dtb _dtbs_/imx6dl-tx6s-8034-mb7.dtb _dtbs_/imx6dl-tx6s-8034.dtb _dtbs_/imx6dl-tx6s-8035-mb7.dtb _dtbs_/imx6dl-tx6s-8035.dtb _dtbs_/imx6dl-tx6u-801x.dtb _dtbs_/imx6dl-tx6u-8033-mb7.dtb _dtbs_/imx6dl-tx6u-8033.dtb _dtbs_/imx6dl-tx6u-80xx-mb7.dtb _dtbs_/imx6dl-tx6u-811x.dtb _dtbs_/imx6dl-tx6u-81xx-mb7.dtb _dtbs_/imx6dl-udoo.dtb _dtbs_/imx6dl-victgo.dtb _dtbs_/imx6dl-vicut1.dtb _dtbs_/imx6dl-wandboard-revb1.dtb _dtbs_/imx6dl-wandboard-revd1.dtb _dtbs_/imx6dl-wandboard.dtb _dtbs_/imx6dl-yapp4-draco.dtb _dtbs_/imx6dl-yapp4-hydra.dtb _dtbs_/imx6dl-yapp4-orion.dtb _dtbs_/imx6dl-yapp4-ursa.dtb _dtbs_/imx6q-apalis-eval.dtb _dtbs_/imx6q-apalis-ixora-v1.1.dtb _dtbs_/imx6q-apalis-ixora-v1.2.dtb _dtbs_/imx6q-apalis-ixora.dtb _dtbs_/imx6q-apf6dev.dtb _dtbs_/imx6q-arm2.dtb _dtbs_/imx6q-b450v3.dtb _dtbs_/imx6q-b650v3.dtb _dtbs_/imx6q-b850v3.dtb _dtbs_/imx6q-bosch-acc.dtb _dtbs_/imx6q-cm-fx6.dtb _dtbs_/imx6q-cubox-i-emmc-som-v15.dtb _dtbs_/imx6q-cubox-i-som-v15.dtb _dtbs_/imx6q-cubox-i.dtb _dtbs_/imx6q-dfi-fs700-m60.dtb _dtbs_/imx6q-dhcom-pdk2.dtb _dtbs_/imx6q-display5-tianma-tm070-1280x768.dtb _dtbs_/imx6q-dmo-edmqmx6.dtb _dtbs_/imx6q-dms-ba16.dtb _dtbs_/imx6q-ds.dtb _dtbs_/imx6q-emcon-avari.dtb _dtbs_/imx6q-evi.dtb _dtbs_/imx6q-gk802.dtb _dtbs_/imx6q-gw51xx.dtb _dtbs_/imx6q-gw52xx.dtb _dtbs_/imx6q-gw53xx.dtb _dtbs_/imx6q-gw5400-a.dtb _dtbs_/imx6q-gw54xx.dtb _dtbs_/imx6q-gw551x.dtb _dtbs_/imx6q-gw552x.dtb _dtbs_/imx6q-gw553x.dtb _dtbs_/imx6q-gw560x.dtb _dtbs_/imx6q-gw5903.dtb _dtbs_/imx6q-gw5904.dtb _dtbs_/imx6q-gw5907.dtb _dtbs_/imx6q-gw5910.dtb _dtbs_/imx6q-gw5912.dtb _dtbs_/imx6q-gw5913.dtb _dtbs_/imx6q-h100.dtb _dtbs_/imx6q-hummingboard-emmc-som-v15.dtb _dtbs_/imx6q-hummingboard-som-v15.dtb _dtbs_/imx6q-hummingboard.dtb _dtbs_/imx6q-hummingboard2-emmc-som-v15.dtb _dtbs_/imx6q-hummingboard2-som-v15.dtb _dtbs_/imx6q-hummingboard2.dtb _dtbs_/imx6q-icore-mipi.dtb _dtbs_/imx6q-icore-ofcap10.dtb _dtbs_/imx6q-icore-ofcap12.dtb _dtbs_/imx6q-icore-rqs.dtb _dtbs_/imx6q-icore.dtb _dtbs_/imx6q-kp-tpc.dtb _dtbs_/imx6q-logicpd.dtb _dtbs_/imx6q-marsboard.dtb _dtbs_/imx6q-mba6a.dtb _dtbs_/imx6q-mba6b.dtb _dtbs_/imx6q-mccmon6.dtb _dtbs_/imx6q-nitrogen6_max.dtb _dtbs_/imx6q-nitrogen6_som2.dtb _dtbs_/imx6q-nitrogen6x.dtb _dtbs_/imx6q-novena.dtb _dtbs_/imx6q-phytec-mira-rdk-emmc.dtb _dtbs_/imx6q-phytec-mira-rdk-nand.dtb _dtbs_/imx6q-phytec-pbab01.dtb _dtbs_/imx6q-pico-dwarf.dtb _dtbs_/imx6q-pico-hobbit.dtb _dtbs_/imx6q-pico-nymph.dtb _dtbs_/imx6q-pico-pi.dtb _dtbs_/imx6q-pistachio.dtb _dtbs_/imx6q-prti6q.dtb _dtbs_/imx6q-prtwd2.dtb _dtbs_/imx6q-rex-pro.dtb _dtbs_/imx6q-sabreauto.dtb _dtbs_/imx6q-sabrelite.dtb _dtbs_/imx6q-sabresd.dtb _dtbs_/imx6q-savageboard.dtb _dtbs_/imx6q-sbc6x.dtb _dtbs_/imx6q-skov-revc-lt2.dtb _dtbs_/imx6q-skov-revc-lt6.dtb _dtbs_/imx6q-skov-reve-mi1010ait-1cp1.dtb _dtbs_/imx6q-solidsense.dtb _dtbs_/imx6q-tbs2910.dtb _dtbs_/imx6q-ts4900.dtb _dtbs_/imx6q-ts7970.dtb _dtbs_/imx6q-tx6q-1010-comtft.dtb _dtbs_/imx6q-tx6q-1010.dtb _dtbs_/imx6q-tx6q-1020-comtft.dtb _dtbs_/imx6q-tx6q-1020.dtb _dtbs_/imx6q-tx6q-1036-mb7.dtb _dtbs_/imx6q-tx6q-1036.dtb _dtbs_/imx6q-tx6q-10x0-mb7.dtb _dtbs_/imx6q-tx6q-1110.dtb _dtbs_/imx6q-tx6q-11x0-mb7.dtb _dtbs_/imx6q-udoo.dtb _dtbs_/imx6q-utilite-pro.dtb _dtbs_/imx6q-var-dt6customboard.dtb _dtbs_/imx6q-vicut1.dtb _dtbs_/imx6q-wandboard-revb1.dtb _dtbs_/imx6q-wandboard-revd1.dtb _dtbs_/imx6q-wandboard.dtb _dtbs_/imx6q-yapp4-crux.dtb _dtbs_/imx6q-zii-rdu2.dtb _dtbs_/imx6qp-mba6b.dtb _dtbs_/imx6qp-nitrogen6_max.dtb _dtbs_/imx6qp-nitrogen6_som2.dtb _dtbs_/imx6qp-phytec-mira-rdk-nand.dtb _dtbs_/imx6qp-prtwd3.dtb _dtbs_/imx6qp-sabreauto.dtb _dtbs_/imx6qp-sabresd.dtb _dtbs_/imx6qp-tx6qp-8037-mb7.dtb _dtbs_/imx6qp-tx6qp-8037.dtb _dtbs_/imx6qp-tx6qp-8137-mb7.dtb _dtbs_/imx6qp-tx6qp-8137.dtb _dtbs_/imx6qp-vicutp.dtb _dtbs_/imx6qp-wandboard-revd1.dtb _dtbs_/imx6qp-yapp4-crux-plus.dtb _dtbs_/imx6qp-zii-rdu2.dtb _dtbs_/imx6s-dhcom-drc02.dtb _dtbs_/imx6sl-evk.dtb _dtbs_/imx6sl-tolino-shine2hd.dtb _dtbs_/imx6sl-tolino-shine3.dtb _dtbs_/imx6sl-tolino-vision5.dtb _dtbs_/imx6sl-warp.dtb _dtbs_/imx6sll-evk.dtb _dtbs_/imx6sll-kobo-clarahd.dtb _dtbs_/imx6sll-kobo-librah2o.dtb _dtbs_/imx6sx-nitrogen6sx.dtb _dtbs_/imx6sx-sabreauto.dtb _dtbs_/imx6sx-sdb-mqs.dtb _dtbs_/imx6sx-sdb-reva.dtb _dtbs_/imx6sx-sdb-sai.dtb _dtbs_/imx6sx-sdb.dtb _dtbs_/imx6sx-softing-vining-2000.dtb _dtbs_/imx6sx-udoo-neo-basic.dtb _dtbs_/imx6sx-udoo-neo-extended.dtb _dtbs_/imx6sx-udoo-neo-full.dtb _dtbs_/imx6ul-14x14-evk.dtb _dtbs_/imx6ul-ccimx6ulsbcexpress.dtb _dtbs_/imx6ul-ccimx6ulsbcpro.dtb _dtbs_/imx6ul-geam.dtb _dtbs_/imx6ul-isiot-emmc.dtb _dtbs_/imx6ul-isiot-nand.dtb _dtbs_/imx6ul-kontron-bl-43.dtb _dtbs_/imx6ul-kontron-bl.dtb _dtbs_/imx6ul-liteboard.dtb _dtbs_/imx6ul-opos6uldev.dtb _dtbs_/imx6ul-phytec-segin-ff-rdk-emmc.dtb _dtbs_/imx6ul-phytec-segin-ff-rdk-nand.dtb _dtbs_/imx6ul-pico-dwarf.dtb _dtbs_/imx6ul-pico-hobbit.dtb _dtbs_/imx6ul-pico-pi.dtb _dtbs_/imx6ul-prti6g.dtb _dtbs_/imx6ul-tqma6ul1-mba6ulx.dtb _dtbs_/imx6ul-tqma6ul2-mba6ulx.dtb _dtbs_/imx6ul-tqma6ul2l-mba6ulx.dtb _dtbs_/imx6ul-tx6ul-0010.dtb _dtbs_/imx6ul-tx6ul-0011.dtb _dtbs_/imx6ul-tx6ul-mainboard.dtb _dtbs_/imx6ull-14x14-evk.dtb _dtbs_/imx6ull-colibri-aster.dtb _dtbs_/imx6ull-colibri-emmc-aster.dtb _dtbs_/imx6ull-colibri-emmc-eval-v3.dtb _dtbs_/imx6ull-colibri-emmc-iris-v2.dtb _dtbs_/imx6ull-colibri-emmc-iris.dtb _dtbs_/imx6ull-colibri-eval-v3.dtb _dtbs_/imx6ull-colibri-iris-v2.dtb _dtbs_/imx6ull-colibri-iris.dtb _dtbs_/imx6ull-colibri-wifi-aster.dtb _dtbs_/imx6ull-colibri-wifi-eval-v3.dtb _dtbs_/imx6ull-colibri-wifi-iris-v2.dtb _dtbs_/imx6ull-colibri-wifi-iris.dtb _dtbs_/imx6ull-jozacp.dtb _dtbs_/imx6ull-kontron-bl.dtb _dtbs_/imx6ull-myir-mys-6ulx-eval.dtb _dtbs_/imx6ull-opos6uldev.dtb _dtbs_/imx6ull-phytec-segin-ff-rdk-emmc.dtb _dtbs_/imx6ull-phytec-segin-ff-rdk-nand.dtb _dtbs_/imx6ull-phytec-segin-lc-rdk-nand.dtb _dtbs_/imx6ull-phytec-tauri-emmc.dtb _dtbs_/imx6ull-phytec-tauri-nand.dtb _dtbs_/imx6ull-tqma6ull2-mba6ulx.dtb _dtbs_/imx6ull-tqma6ull2l-mba6ulx.dtb _dtbs_/imx6ulz-14x14-evk.dtb _dtbs_/imx6ulz-bsh-smm-m2.dtb _dtbs_/imx7d-cl-som-imx7.dtb _dtbs_/imx7d-colibri-aster.dtb _dtbs_/imx7d-colibri-emmc-aster.dtb _dtbs_/imx7d-colibri-emmc-eval-v3.dtb _dtbs_/imx7d-colibri-emmc-iris-v2.dtb _dtbs_/imx7d-colibri-emmc-iris.dtb _dtbs_/imx7d-colibri-eval-v3.dtb _dtbs_/imx7d-colibri-iris-v2.dtb _dtbs_/imx7d-colibri-iris.dtb _dtbs_/imx7d-flex-concentrator-mfg.dtb _dtbs_/imx7d-flex-concentrator.dtb _dtbs_/imx7d-mba7.dtb _dtbs_/imx7d-meerkat96.dtb _dtbs_/imx7d-nitrogen7.dtb _dtbs_/imx7d-pico-dwarf.dtb _dtbs_/imx7d-pico-hobbit.dtb _dtbs_/imx7d-pico-nymph.dtb _dtbs_/imx7d-pico-pi.dtb _dtbs_/imx7d-remarkable2.dtb _dtbs_/imx7d-sbc-imx7.dtb _dtbs_/imx7d-sdb-reva.dtb _dtbs_/imx7d-sdb-sht11.dtb _dtbs_/imx7d-sdb.dtb _dtbs_/imx7d-smegw01.dtb _dtbs_/imx7d-zii-rmu2.dtb _dtbs_/imx7d-zii-rpu2.dtb _dtbs_/imx7s-colibri-aster.dtb _dtbs_/imx7s-colibri-eval-v3.dtb _dtbs_/imx7s-colibri-iris-v2.dtb _dtbs_/imx7s-colibri-iris.dtb _dtbs_/imx7s-mba7.dtb _dtbs_/imx7s-warp.dtb _dtbs_/imx7ulp-com.dtb _dtbs_/imx7ulp-evk.dtb _dtbs_/vf500-colibri-eval-v3.dtb _dtbs_/vf610-bk4.dtb _dtbs_/vf610-colibri-eval-v3.dtb _dtbs_/vf610-cosmic.dtb _dtbs_/vf610-twr.dtb _dtbs_/vf610-zii-cfu1.dtb _dtbs_/vf610-zii-dev-rev-b.dtb _dtbs_/vf610-zii-dev-rev-c.dtb _dtbs_/vf610-zii-scu4-aib.dtb _dtbs_/vf610-zii-spb4.dtb _dtbs_/vf610-zii-ssmb-dtu.dtb _dtbs_/vf610-zii-ssmb-spu3.dtb _dtbs_/vf610m4-colibri.dtb _dtbs_/vf610m4-cosmic.dtb /tmp/kci/artifacts/dtbs\n+ cd ..\n+ echo job:package_dtbs=done\n++ date +%s\n+ echo jobets:package_dtbs=1727050523\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:18:18 AM UTC [INFO] Received an event for node: 66f0b0ffbca8c035cac2c1a1 09/23/2024 12:18:18 AM UTC [DEBUG] DEBUG: sending revision: {'checkouts': [], 'builds': [{'checkout_id': 'maestro:66f0b0aabca8c035cac2c199', 'id': 'maestro:66f0b0ffbca8c035cac2c1a1', 'origin': 'maestro', 'comment': 'staging-stable-20240923.0', 'start_time': '2024-09-23T00:06:23.132000+00:00', 'architecture': 'arm', 'compiler': 'gcc-12', 'config_name': 'omap2plus_defconfig', 'valid': True, 'misc': {'platform': 'kubernetes', 'runtime': 'k8s-all', 'job_id': 'kci-66f0b0ffbca8c035cac2c1a1-kbuild-gcc-12-arm-omap2p-m7a06fkx', 'job_context': 'aks-kbuild-medium-1', 'kernel_type': 'zimage'}, 'output_files': [{'name': 'build_sh', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/build.sh?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/build_kimage.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kimage_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/build_kimage_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/build_modules.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_modules_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/build_modules_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/build_kselftest.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_kselftest_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/build_kselftest_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/build_dtbs.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'build_dtbs_stderr_log', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/build_dtbs_stderr.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'metadata', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/metadata.json?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'fragments_0_config', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/fragments/0.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kernel', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/zImage?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'modules', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/modules.tar.xz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}, {'name': 'kselftest_tar_gz', 'url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/kselftest.tar.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D'}], 'config_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/.config?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_url': 'https://kciapistagingstorage1.file.core.windows.net/staging/kbuild-gcc-12-arm-omap2plus_defconfig-66f0b0ffbca8c035cac2c1a1/build.log.gz?sv=2022-11-02&ss=f&srt=sco&sp=r&se=2024-10-17T19:19:12Z&st=2023-10-17T11:19:12Z&spr=https&sig=sLmFlvZHXRrZsSGubsDUIvTiv%2BtzgDq6vALfkrtWnv8%3D', 'log_excerpt': 'nd/core/oss/snd-pcm-oss.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/core/oss/snd-pcm-oss.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/core/snd-hwdep.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/core/snd-hwdep.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/core/snd-pcm-dmaengine.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/core/snd-pcm-dmaengine.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/core/snd-pcm.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/core/snd-pcm.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/core/snd-rawmidi.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/core/snd-rawmidi.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/core/snd-timer.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/core/snd-timer.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/core/snd.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/core/snd.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-cpcap.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-cpcap.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-hdmi-codec.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-hdmi-codec.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-tlv320aic23-i2c.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-tlv320aic23-i2c.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-tlv320aic23.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-tlv320aic23.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-tlv320aic3x-i2c.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-tlv320aic3x-i2c.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-tlv320aic3x.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-tlv320aic3x.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-tpa6130a2.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-tpa6130a2.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-twl4030.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-twl4030.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-twl6040.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/codecs/snd-soc-twl6040.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/generic/snd-soc-audio-graph-card.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/generic/snd-soc-audio-graph-card.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/generic/snd-soc-simple-card-utils.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/generic/snd-soc-simple-card-utils.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/generic/snd-soc-simple-card.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/generic/snd-soc-simple-card.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/snd-soc-core.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/snd-soc-core.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-davinci-mcasp.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-davinci-mcasp.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-abe-twl6040.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-abe-twl6040.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-dmic.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-dmic.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-hdmi.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-hdmi.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-mcbsp.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-mcbsp.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-mcpdm.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-mcpdm.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-twl4030.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap-twl4030.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap3pandora.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-omap3pandora.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-rx51.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-rx51.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-ti-edma.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-ti-edma.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-ti-sdma.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-ti-sdma.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-ti-udma.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soc/ti/snd-soc-ti-udma.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/soundcore.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/soundcore.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/usb/snd-usb-audio.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/usb/snd-usb-audio.ko\n INSTALL _modules_/lib/modules/6.1.111/kernel/sound/usb/snd-usbmidi-lib.ko\n STRIP _modules_/lib/modules/6.1.111/kernel/sound/usb/snd-usbmidi-lib.ko\n DEPMOD _modules_/lib/modules/6.1.111\n+ tar -C _modules_ -cJf /tmp/kci/artifacts/modules.tar.xz .\n+ cd ..\n+ rm -rf _modules_\n+ set -e\n+ echo job:package_modules=done\n++ date +%s\n+ echo jobets:package_modules=1727050656\n+ echo -----log:package_kselftest-----\n-----log:package_kselftest-----\n+ echo job:package_kselftest=running\n++ date +%s\n+ echo jobsts:package_kselftest=1727050656\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp /tmp/kci/linux/tools/testing/selftests/kselftest_install/kselftest-packages/kselftest.tar.gz /tmp/kci/artifacts/\n+ echo job:package_kselftest=done\n++ date +%s\n+ echo jobets:package_kselftest=1727050656\n+ echo -----log:package_dtbs-----\n-----log:package_dtbs-----\n+ echo job:package_dtbs=running\n++ date +%s\n+ echo jobsts:package_dtbs=1727050656\n+ cd /tmp/kci/linux\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ make dtbs_install\n INSTALL _dtbs_/omap2420-h4.dtb\n INSTALL _dtbs_/omap2420-n800.dtb\n INSTALL _dtbs_/omap2420-n810.dtb\n INSTALL _dtbs_/omap2420-n810-wimax.dtb\n INSTALL _dtbs_/omap2430-sdp.dtb\n INSTALL _dtbs_/am3517-craneboard.dtb\n INSTALL _dtbs_/am3517-evm.dtb\n INSTALL _dtbs_/am3517_mt_ventoux.dtb\n INSTALL _dtbs_/logicpd-torpedo-35xx-devkit.dtb\n INSTALL _dtbs_/logicpd-torpedo-37xx-devkit.dtb\n INSTALL _dtbs_/logicpd-torpedo-37xx-devkit-28.dtb\n INSTALL _dtbs_/logicpd-som-lv-35xx-devkit.dtb\n INSTALL _dtbs_/logicpd-som-lv-37xx-devkit.dtb\n INSTALL _dtbs_/omap3430-sdp.dtb\n INSTALL _dtbs_/omap3-beagle.dtb\n INSTALL _dtbs_/omap3-beagle-ab4.dtb\n INSTALL _dtbs_/omap3-beagle-xm.dtb\n INSTALL _dtbs_/omap3-beagle-xm-ab.dtb\n INSTALL _dtbs_/omap3-cm-t3517.dtb\n INSTALL _dtbs_/omap3-cm-t3530.dtb\n INSTALL _dtbs_/omap3-cm-t3730.dtb\n INSTALL _dtbs_/omap3-devkit8000.dtb\n INSTALL _dtbs_/omap3-devkit8000-lcd43.dtb\n INSTALL _dtbs_/omap3-devkit8000-lcd70.dtb\n INSTALL _dtbs_/omap3-echo.dtb\n INSTALL _dtbs_/omap3-evm.dtb\n INSTALL _dtbs_/omap3-evm-37xx.dtb\n INSTALL _dtbs_/omap3-gta04a3.dtb\n INSTALL _dtbs_/omap3-gta04a4.dtb\n INSTALL _dtbs_/omap3-gta04a5.dtb\n INSTALL _dtbs_/omap3-gta04a5one.dtb\n INSTALL _dtbs_/omap3-ha.dtb\n INSTALL _dtbs_/omap3-ha-lcd.dtb\n INSTALL _dtbs_/omap3-igep0020.dtb\n INSTALL _dtbs_/omap3-igep0020-rev-f.dtb\n INSTALL _dtbs_/omap3-igep0030.dtb\n INSTALL _dtbs_/omap3-igep0030-rev-g.dtb\n INSTALL _dtbs_/omap3-ldp.dtb\n INSTALL _dtbs_/omap3-lilly-dbb056.dtb\n INSTALL _dtbs_/omap3-n900.dtb\n INSTALL _dtbs_/omap3-n9.dtb\n INSTALL _dtbs_/omap3-n950.dtb\n INSTALL _dtbs_/omap3-overo-alto35.dtb\n INSTALL _dtbs_/omap3-overo-chestnut43.dtb\n INSTALL _dtbs_/omap3-overo-gallop43.dtb\n INSTALL _dtbs_/omap3-overo-palo35.dtb\n INSTALL _dtbs_/omap3-overo-palo43.dtb\n INSTALL _dtbs_/omap3-overo-storm-alto35.dtb\n INSTALL _dtbs_/omap3-overo-storm-chestnut43.dtb\n INSTALL _dtbs_/omap3-overo-storm-gallop43.dtb\n INSTALL _dtbs_/omap3-overo-storm-palo35.dtb\n INSTALL _dtbs_/omap3-overo-storm-palo43.dtb\n INSTALL _dtbs_/omap3-overo-storm-summit.dtb\n INSTALL _dtbs_/omap3-overo-storm-tobi.dtb\n INSTALL _dtbs_/omap3-overo-storm-tobiduo.dtb\n INSTALL _dtbs_/omap3-overo-summit.dtb\n INSTALL _dtbs_/omap3-overo-tobi.dtb\n INSTALL _dtbs_/omap3-overo-tobiduo.dtb\n INSTALL _dtbs_/omap3-pandora-600mhz.dtb\n INSTALL _dtbs_/omap3-pandora-1ghz.dtb\n INSTALL _dtbs_/omap3-sbc-t3517.dtb\n INSTALL _dtbs_/omap3-sbc-t3530.dtb\n INSTALL _dtbs_/omap3-sbc-t3730.dtb\n INSTALL _dtbs_/omap3-sniper.dtb\n INSTALL _dtbs_/omap3-thunder.dtb\n INSTALL _dtbs_/omap3-zoom3.dtb\n INSTALL _dtbs_/am3874-iceboard.dtb\n INSTALL _dtbs_/dm8148-evm.dtb\n INSTALL _dtbs_/dm8148-t410.dtb\n INSTALL _dtbs_/dm8168-evm.dtb\n INSTALL _dtbs_/dra62x-j5eco-evm.dtb\n INSTALL _dtbs_/am335x-baltos-ir2110.dtb\n INSTALL _dtbs_/am335x-baltos-ir3220.dtb\n INSTALL _dtbs_/am335x-baltos-ir5221.dtb\n INSTALL _dtbs_/am335x-base0033.dtb\n INSTALL _dtbs_/am335x-bone.dtb\n INSTALL _dtbs_/am335x-boneblack.dtb\n INSTALL _dtbs_/am335x-boneblack-wireless.dtb\n INSTALL _dtbs_/am335x-boneblue.dtb\n INSTALL _dtbs_/am335x-bonegreen.dtb\n INSTALL _dtbs_/am335x-bonegreen-wireless.dtb\n INSTALL _dtbs_/am335x-chiliboard.dtb\n INSTALL _dtbs_/am335x-cm-t335.dtb\n INSTALL _dtbs_/am335x-evm.dtb\n INSTALL _dtbs_/am335x-evmsk.dtb\n INSTALL _dtbs_/am335x-guardian.dtb\n INSTALL _dtbs_/am335x-icev2.dtb\n INSTALL _dtbs_/am335x-lxm.dtb\n INSTALL _dtbs_/am335x-moxa-uc-2101.dtb\n INSTALL _dtbs_/am335x-moxa-uc-8100-me-t.dtb\n INSTALL _dtbs_/am335x-myirtech-myd.dtb\n INSTALL _dtbs_/am335x-nano.dtb\n INSTALL _dtbs_/am335x-netcan-plus-1xx.dtb\n INSTALL _dtbs_/am335x-netcom-plus-2xx.dtb\n INSTALL _dtbs_/am335x-netcom-plus-8xx.dtb\n INSTALL _dtbs_/am335x-pdu001.dtb\n INSTALL _dtbs_/am335x-pepper.dtb\n INSTALL _dtbs_/am335x-phycore-rdk.dtb\n INSTALL _dtbs_/am335x-pocketbeagle.dtb\n INSTALL _dtbs_/am335x-regor-rdk.dtb\n INSTALL _dtbs_/am335x-sancloud-bbe.dtb\n INSTALL _dtbs_/am335x-sancloud-bbe-lite.dtb\n INSTALL _dtbs_/am335x-sancloud-bbe-extended-wifi.dtb\n INSTALL _dtbs_/am335x-shc.dtb\n INSTALL _dtbs_/am335x-sbc-t335.dtb\n INSTALL _dtbs_/am335x-sl50.dtb\n INSTALL _dtbs_/am335x-wega-rdk.dtb\n INSTALL _dtbs_/am335x-osd3358-sm-red.dtb\n INSTALL _dtbs_/omap4-droid-bionic-xt875.dtb\n INSTALL _dtbs_/omap4-droid4-xt894.dtb\n INSTALL _dtbs_/omap4-duovero-parlor.dtb\n INSTALL _dtbs_/omap4-kc1.dtb\n INSTALL _dtbs_/omap4-panda.dtb\n INSTALL _dtbs_/omap4-panda-a4.dtb\n INSTALL _dtbs_/omap4-panda-es.dtb\n INSTALL _dtbs_/omap4-sdp.dtb\n INSTALL _dtbs_/omap4-sdp-es23plus.dtb\n INSTALL _dtbs_/omap4-var-dvk-om44.dtb\n INSTALL _dtbs_/omap4-var-stk-om44.dtb\n INSTALL _dtbs_/am43x-epos-evm.dtb\n INSTALL _dtbs_/am437x-cm-t43.dtb\n INSTALL _dtbs_/am437x-gp-evm.dtb\n INSTALL _dtbs_/am437x-idk-evm.dtb\n INSTALL _dtbs_/am437x-sbc-t43.dtb\n INSTALL _dtbs_/am437x-sk-evm.dtb\n INSTALL _dtbs_/omap5-cm-t54.dtb\n INSTALL _dtbs_/omap5-igep0050.dtb\n INSTALL _dtbs_/omap5-sbc-t54.dtb\n INSTALL _dtbs_/omap5-uevm.dtb\n INSTALL _dtbs_/am57xx-beagle-x15.dtb\n INSTALL _dtbs_/am57xx-beagle-x15-revb1.dtb\n INSTALL _dtbs_/am57xx-beagle-x15-revc.dtb\n INSTALL _dtbs_/am5729-beagleboneai.dtb\n INSTALL _dtbs_/am57xx-cl-som-am57x.dtb\n INSTALL _dtbs_/am57xx-sbc-am57x.dtb\n INSTALL _dtbs_/am572x-idk.dtb\n INSTALL _dtbs_/am571x-idk.dtb\n INSTALL _dtbs_/am574x-idk.dtb\n INSTALL _dtbs_/dra7-evm.dtb\n INSTALL _dtbs_/dra72-evm.dtb\n INSTALL _dtbs_/dra72-evm-revc.dtb\n INSTALL _dtbs_/dra71-evm.dtb\n INSTALL _dtbs_/dra76-evm.dtb\n+ mkdir -p /tmp/kci/artifacts/dtbs\n+ echo Ignore error in next command, if any\nIgnore error in next command, if any\n+ cp -r _dtbs_/am335x-baltos-ir2110.dtb _dtbs_/am335x-baltos-ir3220.dtb _dtbs_/am335x-baltos-ir5221.dtb _dtbs_/am335x-base0033.dtb _dtbs_/am335x-bone.dtb _dtbs_/am335x-boneblack-wireless.dtb _dtbs_/am335x-boneblack.dtb _dtbs_/am335x-boneblue.dtb _dtbs_/am335x-bonegreen-wireless.dtb _dtbs_/am335x-bonegreen.dtb _dtbs_/am335x-chiliboard.dtb _dtbs_/am335x-cm-t335.dtb _dtbs_/am335x-evm.dtb _dtbs_/am335x-evmsk.dtb _dtbs_/am335x-guardian.dtb _dtbs_/am335x-icev2.dtb _dtbs_/am335x-lxm.dtb _dtbs_/am335x-moxa-uc-2101.dtb _dtbs_/am335x-moxa-uc-8100-me-t.dtb _dtbs_/am335x-myirtech-myd.dtb _dtbs_/am335x-nano.dtb _dtbs_/am335x-netcan-plus-1xx.dtb _dtbs_/am335x-netcom-plus-2xx.dtb _dtbs_/am335x-netcom-plus-8xx.dtb _dtbs_/am335x-osd3358-sm-red.dtb _dtbs_/am335x-pdu001.dtb _dtbs_/am335x-pepper.dtb _dtbs_/am335x-phycore-rdk.dtb _dtbs_/am335x-pocketbeagle.dtb _dtbs_/am335x-regor-rdk.dtb _dtbs_/am335x-sancloud-bbe-extended-wifi.dtb _dtbs_/am335x-sancloud-bbe-lite.dtb _dtbs_/am335x-sancloud-bbe.dtb _dtbs_/am335x-sbc-t335.dtb _dtbs_/am335x-shc.dtb _dtbs_/am335x-sl50.dtb _dtbs_/am335x-wega-rdk.dtb _dtbs_/am3517-craneboard.dtb _dtbs_/am3517-evm.dtb _dtbs_/am3517_mt_ventoux.dtb _dtbs_/am3874-iceboard.dtb _dtbs_/am437x-cm-t43.dtb _dtbs_/am437x-gp-evm.dtb _dtbs_/am437x-idk-evm.dtb _dtbs_/am437x-sbc-t43.dtb _dtbs_/am437x-sk-evm.dtb _dtbs_/am43x-epos-evm.dtb _dtbs_/am571x-idk.dtb _dtbs_/am5729-beagleboneai.dtb _dtbs_/am572x-idk.dtb _dtbs_/am574x-idk.dtb _dtbs_/am57xx-beagle-x15-revb1.dtb _dtbs_/am57xx-beagle-x15-revc.dtb _dtbs_/am57xx-beagle-x15.dtb _dtbs_/am57xx-cl-som-am57x.dtb _dtbs_/am57xx-sbc-am57x.dtb _dtbs_/dm8148-evm.dtb _dtbs_/dm8148-t410.dtb _dtbs_/dm8168-evm.dtb _dtbs_/dra62x-j5eco-evm.dtb _dtbs_/dra7-evm.dtb _dtbs_/dra71-evm.dtb _dtbs_/dra72-evm-revc.dtb _dtbs_/dra72-evm.dtb _dtbs_/dra76-evm.dtb _dtbs_/logicpd-som-lv-35xx-devkit.dtb _dtbs_/logicpd-som-lv-37xx-devkit.dtb _dtbs_/logicpd-torpedo-35xx-devkit.dtb _dtbs_/logicpd-torpedo-37xx-devkit-28.dtb _dtbs_/logicpd-torpedo-37xx-devkit.dtb _dtbs_/omap2420-h4.dtb _dtbs_/omap2420-n800.dtb _dtbs_/omap2420-n810-wimax.dtb _dtbs_/omap2420-n810.dtb _dtbs_/omap2430-sdp.dtb _dtbs_/omap3-beagle-ab4.dtb _dtbs_/omap3-beagle-xm-ab.dtb _dtbs_/omap3-beagle-xm.dtb _dtbs_/omap3-beagle.dtb _dtbs_/omap3-cm-t3517.dtb _dtbs_/omap3-cm-t3530.dtb _dtbs_/omap3-cm-t3730.dtb _dtbs_/omap3-devkit8000-lcd43.dtb _dtbs_/omap3-devkit8000-lcd70.dtb _dtbs_/omap3-devkit8000.dtb _dtbs_/omap3-echo.dtb _dtbs_/omap3-evm-37xx.dtb _dtbs_/omap3-evm.dtb _dtbs_/omap3-gta04a3.dtb _dtbs_/omap3-gta04a4.dtb _dtbs_/omap3-gta04a5.dtb _dtbs_/omap3-gta04a5one.dtb _dtbs_/omap3-ha-lcd.dtb _dtbs_/omap3-ha.dtb _dtbs_/omap3-igep0020-rev-f.dtb _dtbs_/omap3-igep0020.dtb _dtbs_/omap3-igep0030-rev-g.dtb _dtbs_/omap3-igep0030.dtb _dtbs_/omap3-ldp.dtb _dtbs_/omap3-lilly-dbb056.dtb _dtbs_/omap3-n9.dtb _dtbs_/omap3-n900.dtb _dtbs_/omap3-n950.dtb _dtbs_/omap3-overo-alto35.dtb _dtbs_/omap3-overo-chestnut43.dtb _dtbs_/omap3-overo-gallop43.dtb _dtbs_/omap3-overo-palo35.dtb _dtbs_/omap3-overo-palo43.dtb _dtbs_/omap3-overo-storm-alto35.dtb _dtbs_/omap3-overo-storm-chestnut43.dtb _dtbs_/omap3-overo-storm-gallop43.dtb _dtbs_/omap3-overo-storm-palo35.dtb _dtbs_/omap3-overo-storm-palo43.dtb _dtbs_/omap3-overo-storm-summit.dtb _dtbs_/omap3-overo-storm-tobi.dtb _dtbs_/omap3-overo-storm-tobiduo.dtb _dtbs_/omap3-overo-summit.dtb _dtbs_/omap3-overo-tobi.dtb _dtbs_/omap3-overo-tobiduo.dtb _dtbs_/omap3-pandora-1ghz.dtb _dtbs_/omap3-pandora-600mhz.dtb _dtbs_/omap3-sbc-t3517.dtb _dtbs_/omap3-sbc-t3530.dtb _dtbs_/omap3-sbc-t3730.dtb _dtbs_/omap3-sniper.dtb _dtbs_/omap3-thunder.dtb _dtbs_/omap3-zoom3.dtb _dtbs_/omap3430-sdp.dtb _dtbs_/omap4-droid-bionic-xt875.dtb _dtbs_/omap4-droid4-xt894.dtb _dtbs_/omap4-duovero-parlor.dtb _dtbs_/omap4-kc1.dtb _dtbs_/omap4-panda-a4.dtb _dtbs_/omap4-panda-es.dtb _dtbs_/omap4-panda.dtb _dtbs_/omap4-sdp-es23plus.dtb _dtbs_/omap4-sdp.dtb _dtbs_/omap4-var-dvk-om44.dtb _dtbs_/omap4-var-stk-om44.dtb _dtbs_/omap5-cm-t54.dtb _dtbs_/omap5-igep0050.dtb _dtbs_/omap5-sbc-t54.dtb _dtbs_/omap5-uevm.dtb /tmp/kci/artifacts/dtbs\n+ cd ..\n+ echo job:package_dtbs=done\n++ date +%s\n+ echo jobets:package_dtbs=1727050657\n+ echo Build script is completed, tail will be killed now\nBuild script is completed, tail will be killed now\n+ kill 12\n'}], 'tests': [], 'version': {'major': 4, 'minor': 4}} 09/23/2024 12:19:11 AM UTC [INFO] Stopping.